991 resultados para NEGATIVE DIFFERENTIAL CONDUCTIVITY


Relevância:

100.00% 100.00%

Publicador:

Resumo:

Negative differential resistance (NDR) has been observed for the first time above room temperature in gallium nitride nanocrystals synthesized by a simple chemical route. Current-voltage characteristics have been used to investigate this effect through a metal-semiconductor-metal (M-S-M) configuration on SiO2. The NDR effect is reversible and reproducible through many cycles. The threshold voltage is similar to 7 V above room temperature.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

We present a simplified theory of the effective momentum mass (EMM) and ballistic current–voltage relationship in a degenerate two-folded highly asymmetric bilayer graphene nanoribbon. With an increase in the gap, the density-of-states in the lower set of subbands increases more than that of the upper set. This results in a phenomenological population inversion of carriers, which is reflected through a net negative differential conductance (NDC). It is found that with the increase of the ribbon width, the NDC also increases. The population inversion also signatures negative values of EMM above a certain ribbon-width for the lower set of subbands, which increases in a step-like manner with the applied longitudinal static bias. The well-known result for symmetric conditions has been obtained as a special case.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

The current density-voltage (J-V) characteristics of poly(3-methylthiophene) devices show a negative differential resistance (NDR) at room temperature with a large peak to valley current ratio (similar to 507). This NDR can be tuned by two orders of magnitude by controlling the carrier density due to the variation of the space-charge region in the device. The temperature and scan rate dependent J-V measurements infer that the NDR is mainly driven by the trapping and de-trapping of carriers. The photo-generation of carriers is observed to reduce the NDR effect.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

Negative differential resistance (NDR) in current-voltage (I-V) characteristics and apparent colossal electroresistance were observed in Gd0.5Sr0.5MnO3 single crystals at low temperatures. The continuous dc I-V measurements showed a marked thermal drift. In addition, temperature of the sample surface was found to be significantly higher than that of the base at high applied currents. Two different strategies namely estimation and diminution of the Joule heating (pulsed I-V measurements) were employed to investigate its role in the electric transport properties. Our experiments reveal that the NDR in Gd0.5Sr0.5MnO3 is a consequence of Joule heating rather than the melting of charge order. (C) 2010 American Institute of Physics. doi:10.1063/1.3486221]

Relevância:

100.00% 100.00%

Publicador:

Resumo:

Negative differential capacitance (NDC) has been observed in n-GaN/p-Si heterojunctions grown by plasma assisted molecular beam epitaxy (PAMBE). The NDC is observed at low frequencies 1 and 10 kilohertz (kHz) and disappeared at a higher testing frequency of 100 kHz. The NDC is also studied with temperature and found that it has disappeared above 323 degrees C. Current-Voltage (I-V) characteristics of n-GaN /p-Si heterojunction were measured at different temperatures and are attributed to the space-charge-limited current (SCLC). A simple model involving two quantum states is proposed to explain the observed NDC behavior. (C) 2010 Elsevier Ltd. All rights reserved.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

In this work, we present a study on the negative differential resistance (NDR) behavior and the impact of various deformations (like ripple, twist, wrap) and defects like vacancies and edge roughness on the electronic properties of short-channel MoS2 armchair nanoribbon MOSFETs. The effect of deformation (3 degrees-7 degrees twist or wrap and 0.3-0.7 angstrom ripple amplitude) and defects on a 10 nm MoS2 ANR FET is evaluated by the density functional tight binding theory and the non-equilibrium Green's function approach. We study the channel density of states, transmission spectra, and the I-D-V-D characteristics of such devices under the varying conditions, with focus on the NDR behavior. Our results show significant change in the NDR peak to valley ratio and the NDR window with such minor intrinsic deformations, especially with the ripple. (C) 2013 AIP Publishing LLC.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

We investigate the transition from static to dynamic electric field domains (EFDs) in a doped GaAs/AlAs superlattice (SL). We show that a transverse magnetic field and/or the temperature can induce current self-oscillations. This observation can be attributed to the negative differential resistance (NDR) effect. Transverse magnetic field and the temperature can increase the NDR of a doped SL. A large NDR can lead to an unstable EFD in a certain range of d.c. bias. (C) 1999 Elsevier Science Ltd. All rights reserved.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

N-shaped negative differential resistance (NDR) with a high peak-to-valley ratio (PVR) is observed in a GaAs-based modulation-doped field effect transistor (MODFET) with InAs quantum dots (QDs) in the barrier layer (QDFET) compared with a GaAs MODFET. The NDR is explained as the real-space transfer (RST) of high-mobility electrons in a channel into nearby barrier layers with low mobility, and the PVR is enhanced dramatically upon inserting the QD layer. It is also revealed that the QD layer traps holes and acts as a positively charged nano-floating gate after a brief optical illumination, while it acts as a negatively charged nano-floating gate and depletes the adjacent channel when charged by the electrons. The NDR suggests a promising application in memory or high-speed logic devices for the QDFET structure.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

An electrically bistable device has been fabricated using nanocomposite films consisting of silver nanoparticles and a semiconducting polymer by a simple spin-coating method. The current-voltage characteristics of the as-fabricated devices exhibit an obvious electrical bistability and negative differential resistance effect. The current ratio between the high-conducting state and low-conducting state can reach more than 103 at room temperature. The electrical bistability of the device is attributed to the electric-filed-induced charge transfer between the silver nanoparticles and the polymer, and the negative differential resistance behavior is related to the charge trapping in the silver nanoparticles. The results open up a simple approach to fabricate high quality electrically bistable devices by doping metal nanoparticles into polymer.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

An extensive study of the one-dimensional two-segment Frenkel-Kontorova FK model reveals a transition from the counterintuitive existence to the ordinary nonexistence of a negative-differential-thermal-resistance NDTR regime, when the system size or the intersegment coupling constant increases to a critical value. A “phase” diagram which depicts the relevant conditions for the exhibition of NDTR was obtained. In the existence of a NDTR regime, the link at the segment interface is weak and therefore the corresponding exhibition of NDTR can be explained in terms of effective phonon-band shifts. In the case where such a regime does not exist, the theory of phonon-band mismatch is not applicable due to sufficiently strong coupling between the FK segments. The findings suggest that the behavior of a thermal transistor will depend critically on the properties of the interface and the system size.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

Negative differential resistance (NDR) and memory phenomenon have been realized in current-voltage (I-V) characteristics of indium tin oxide/tris(8-hydroxyquinoline) aluminum/aluminum devices. The I-V curves have been divided into three operational regions that are associated with different working regimes of the devices: (i) bistable region, (ii) NDR region, and (iii) monotonic region. The bistable region disappeared after a couple of voltage sweeps from zero to a positive voltage. The bistable nature can be reinstated by applying a suitable negative voltage.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

We have observed, respectively, a negative differential resistance (NDR) and switching conduction in current-voltage (I-V) characteristics of organic diodes based on copper phthalocyanine (CuPc) film sandwiched between indium-tin-oxide (ITO) and aluminum (Al) by controlling the evaporation rate. The NDR effect is repeatable which can be well, controlled by sweep rate and start voltage, and the switching exhibits write-once-read-many-times (WORM) memory characteristics. The traps in the organic layer and interfacial dipole have been used to explain the NDR effect and switching conduction. This opens up potential applications for CuPc organic semiconductor in low power memory and logic circuits.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

Negative differential resistance (NDR) and memory effect were observed in diodes based on 1,4-dibenzyl C60 (DBC) and zinc phthalocyanine doped polystyrene hybrid material. Certain negative starting sweeping voltages led to a reproducible NDR, making the hybrid material a promising candidate in memory devices. It was found that the introduction of DBC enhanced the ON/OFF current ratio and significantly improved the memory stability. The ON/OFF current ratio was up to 2 orders of magnitude. The write-read-erase-reread cycles were more than 10(6), and the retention time reached 10 000 s without current degradation.