109 resultados para HYDROGEN-PASSIVATED SILICON

em Chinese Academy of Sciences Institutional Repositories Grid Portal


Relevância:

100.00% 100.00%

Publicador:

Resumo:

A thermodynamic model of hydrogen-induced silicon surface layer splitting with the help of a bonded silicon wafer is proposed in this article. Wafer splitting is the result of lateral growth of hydrogen blisters in the entire hydrogen-implanted region during annealing. The blister growth rate depends on the effective activation energies of both hydrogen complex dissociation and hydrogen diffusion. The hydrogen blister radius was studied as a function of annealing time, annealing temperature, and implantation dose. The critical radius was obtained according to the Griffith energy condition. The time required for wafer splitting at the cut temperature was calculated in accordance with the growth of hydrogen blisters. (C) 2001 American Institute of Physics.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

Atomic force microscope (AFM)-based scanned probe oxidation (SPO) nanolithography has been carried out on an octadecyl-terminated Si(111) surface to create dot-array patterns under ambient conditions in contact mode. The kinetics investigations indicate that this SPO process involves three stages. Within the steadily growing stage, the height of oxide dots increases logarithmically with pulse duration and linearly with pulse voltage. The lateral size of oxide dots tends to vary in a similar way. Our experiments show that a direct-log kinetic model is more applicable than a power-of-time law model for the SPO process on an alkylated silicon in demonstrating the dependence of oxide thickness on voltage exposure time within a relatively wide range. In contrast with the SPO on the octodecysilated SiO2/silicon surface, this process can be realized by a lower voltage with a shorter exposure time, which will be of great benefit to the fabrication of integrated nanometer-sized electronic devices on silicon-based substrates. This study demonstrates that the alkylated silicon is a new promising substrate material for silicon-based nanolithography.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

Scanned probe oxidation (SPO) nanolithography has been performed with an atomic force microscope (AFM) on an octadecyl-terminated silicon (111) surface to create protuberant oxide line patterns under ambient conditions in contact mode. The kinetic investigations of this SPO process indicate that the oxide line height increases linearly with applied voltage and decreases logarithmically with writing, speed. The oxide line width also tends to vary with the same law. The ambient humidity and the AFM tip state can remarkably influence this process, too. As compared with traditional octadecylsilated SiO2/Si substrate, such a substrate can guarantee the SPO with an obviously lowered voltage and a greatly increased writing speed. This study demonstrates that such alkylated silicon is a promising silicon-based substrate material for SPO nanolithography.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

We report lithium passivation of the shallow acceptors Zn and Cd in p-type GaAs which we attribute to the formation of neutral Li-Zn and Li-Cd complexes. Similar to hydrogen, another group-I element, lithium strongly reduces the concentration of free holes when introduced into p-type GaAs. The passivation is inferred from an increase of both the hole mobility and the resisitivity throughout the bulk of the sample. It is observed most clearly for Li concentrations comparable to the shallow-acceptor concentration. In addition, compensation of shallow acceptors by randomly distributed donors is present in varying degree in the Li-diffused samples. Unlike hydrogenation of n-type GaAs, Li doping shows no evidence of neutralizing shallow donors in GaAs.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

The reaction of nitrone, N-methyl nitrone, and their hydroxylamine tautomers (vinyl-hydroxylamine and N-methyl vinyl-hydroxylamine) on the reconstructed Si(100)-2 x 1 surface has been investigated by means of hybrid density functional theory (B3LYP) and Moller-Plesset second-order perturbation (MP2) methods. The calculations predicted that both of the nitrones should react with the surface dimer via facile concerted 1,3-dipolar cycloaddition leading to 5-member-ring compounds. The reaction of hydroxylamine tautomers on the Si(100) surface follows pi-complex (intermediate) mechanism. For the reaction of N-methyl vinyl-hydroxylamine, the pi-complex intermediate undergoes [2+2] cycloaddition leading to a 4-member-ring compound. But in the reaction of vinyl-hydroxylamine, the intermediate undergoes H-migration reaction ("ene" reaction) resulting in the oxime-terminated Si surface. All the surface reactions result in the hydroxyl-terminated silicon surfaces, which are very useful for the further modification of the semiconductor.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

To explore the reactivities of alkene (-CH=CH2) and carboxy (-COOH) group with H-Si under UV irradiation, the addition mechanism for the reactions of SiH3 radical with propylene and acetic acid was studied by using the B3LYP/6-311++ G(d,p) method. Based on the surface energy profiles, the dominant reaction pathways can be established; i.e., SiH3 adds to the terminal carbon atom of the alkene (-CH=CH2) to form an anti-Markovnikov addition product, or adds to the oxygen atom of the carboxy group (-COOH) to form silyl acetate (CH3-COOSiH3). Because the barrier in the reaction of the carboxy group (39.9 kJ/ mol) is much larger than that of alkene (11.97 kJ/mol), we conclude that the reaction of bifunctional molecules (e.g., omega-alkenoic acid) with H-Si under irradiation condition is highly selective; i.e., the alkene group (-CH= CH2) reacts with SiH3 substantially faster than the carboxyl group (-COOH), which agrees well with the experimental results. This provides the possibility of preparing carboxy-terminated monolayers on silicon surface from omega-alkenoic acids via direct photochemical reaction.

Relevância:

40.00% 40.00%

Publicador:

Resumo:

We explored the deposition of hydrogenated amorphous silicon (a-Si: H) using trisilane (Si3H8) as a gas precursor in a radiofrequency plasma enhanced chemical vapour deposition process and studied the suitability of this material for photovoltaic applications. The impact of hydrogen dilution on the deposition rate and microstructure of the films is systematically examined. Materials deposited using trisilane are compared with that using disilane (Si2H6). It is found that when using Si3H8 as the gas precursor the deposition rate increases by a factor of similar to 1.5 for the same hydrogen dilution (R = [H-2]/[Si3H8] or [H-2]/[Si2H6])- Moreover, the structural transition from amorphous to nanocrystalline occurs at a higher hydrogen dilution level for Si3H8 and the transition is more gradual as compared with Si2H6 deposited films. Single-junction n-i-p a-Si: H solar cells were prepared with intrinsic layers deposited using Si3H8 or Si2H6. The dependence of open circuit voltage (V-oc) on hydrogen dilution was investigated. V-oc greater than 1 V can be obtained when the i-layers are deposited at a hydrogen dilution of 180 and 100 using Si3H8 and Si2H6, respectively.

Relevância:

40.00% 40.00%

Publicador:

Resumo:

Isochronal thermal-annealing behavior of NTD floating-zone silicon grown in hydrogen ambient (called NTD FZ(H) Si) is presented. The dependencies of resistivity and carrier mobility on annealing temperature are determined by room-temperature Hall electrical measurements. Using infrared absorption spectroscopy, hydrogen-related infrared absorption bands evolution for NTD FZ(H) Si were measured in detail. It is demonstrated that compared with NTD FZ(Ar) Si, NTD FZ(H) Si exhibits the striking features upon isochronal annealing in temperature range of 150 similar to 650 degreesC: there appears the formation of an excessive shallow donor at annealing temperature of 500 degreesC. It is shown that the annealing behavior is directly related to the reaction of hydrogen and irradiation-induced defects. The evolution of infrared absorption bands upon temperature reflects a series of complex reaction process: irradiation-induced defects decomposition, breaking of Si-H bonds, migration and aggregation of atomic hydrogen, and formation of the secondary defects. (C) 2002 Elsevier Science B.V. All rights reserved.

Relevância:

40.00% 40.00%

Publicador:

Resumo:

The effects of hydrogen passivation on multi-crystalline silicon (mc-Si) solar cells are reported in this paper. Hydrogen plasma was generated by means of ac glow discharge in a hydrogen atmosphere. Hydrogen passivation was carried out with three different groups of mc-Si solar cells after finishing contacts. The experimental results demonstrated that the photovoltaic performances of the solar cell samples have been improved after hydrogen plasma treatment, with a relative increase in conversion efficiency up to 10.6%. A calculation modelling has been performed to interpret the experimental results using the model for analysis of microelectronic and photonic structures developed at Pennsylvania State University.

Relevância:

40.00% 40.00%

Publicador:

Resumo:

Silicon-rich silicon oxide (SRSO) films are prepared by plasma-enhanced chemical vapor deposition method at the substrate temperature of 200degreesC. The effect of rapid thermal annealing and hydrogen plasma treatment on tire microstructure and light-emission of SRSO films are investigated in detail using micro-Raman spectroscopy, Fourier transform infrared (FTIR) spectroscopy and photoluminescence (PL) spectra. It is found that the phase-separation degree of the films decreases with increasing annealing temperature from 300 to 600degreesC, while it increases with increasing annealing temperature from 600 to 900degreesC. The light-emission of the films are enhanced with increasing annealing temperature up to 500degreesC, while it is rapidly reduced when the annealing temperature exceeds 600degreesC. The peak position of the PL spectrum blueshifts by annealing at the temperature of 300degreesC, then it red-shifts with further raising annealing temperature. The following hydrogen plasma treatment results in a disproportionate increase of the PL intensity and a blueshift or redshift of the peak positions, depending on the pristine annealing temperature. It is thought that the size of amorphous silicon clusters, surface structure of the clusters and the distribution of hydrogen in the films can be changed during the annealing procedure. The results indicate that not only cluster size but also surface state of the clusters plays an important role in the determination of electronic structure of the amorphous silicon cluster and recombination process of light-generated carriers.

Relevância:

40.00% 40.00%

Publicador:

Resumo:

High-frequency vibrational modes have been observed at liquid-helium temperature in silicon samples grown in a H-2 or D-2 atmosphere. The highest-frequency ones are due to the overtones and combination modes of SiH fundamentals. Others are CH modes due to (C,H) complexes, but the simultaneous presence of NH modes due to (N,H) complexes cannot be excluded. The present results seem to show also the existence of centers including both SiH and CH or NH bonds. One sharp mode at 4349 cm-l is related to a weak SiH fundamental at 2210 cm(-1). The related center is ascribed to a vacancy fully decorated with hydrogen with a nearest-neighbor C atom. [S0163-1829(99)00911-X].

Relevância:

40.00% 40.00%

Publicador:

Resumo:

Conventional transmission electron microscopy and energy-filtering were used to study the dislocations and nanocavities in proton-implanted [001] silicon. A two-dimensional network of dislocations and nanocavities was found after a two-step annealing, while only isolated cavities were present in single-step annealed Si. In addition, two-step annealing increased materially the size and density of the nanocavities. The Burgers vector of the dislocations was mainly the 1/2[110] type. The gettering of oxygen at the nanocavities was demonstrated. (C) 1998 American Institute of Physics. [S0003-6951(98)00620-2].

Relevância:

40.00% 40.00%

Publicador:

Resumo:

It is well known that the value of room-temperature conductivity sigma(RT) of boron-doped silicon films is one order lower than that of phosphorus-doped silicon films, when they are deposited in an identical plasma-enhanced chemical vapour deposition system. We use surface acoustic wave and secondary-ion mass spectrometry techniques to measure the concentration of total and electrically active boron atoms. It is shown that only 0.7% of the total amount of incorporated boron is electrically active. This is evidence that hydrogen atoms can passivate substitutional B-Si bonds by forming the neutral B-H-Si complex. By irradiating the boron-doped samples with a low-energy electron beam, the neutral B-H-Si complex converts into electrically active B-Si bonds and the conductivity can be increased by about one order of magnitude, up to the same level as that of phosphorus-doped samples.

Relevância:

40.00% 40.00%

Publicador:

Resumo:

Quantitative determinations of the hydrogen content and its profile in silicon nitride sensitive films by the method of resonant nuclear reaction have been carried out. At a deposition temperature of 825-degrees-C, hydrogen exists in an LPCVD silicon nitride sensitive film and the hydrogen content on its surface is in the range (8-16) x 10(21) cm-3, depending on the different deposition processes used. This hydrogen content is larger than the (2-3) x 10(21) cm-3 in its interior part, which is homogeneous. Meanwhile, we observe separate peaks for the chemical bonding configurations of Si-H and N-H bonds, indicated by the infrared absorption bands Si-O (1106 cm-1), N-H (1200 cm-1), Si-H-3 (2258 cm-1) and N-H-2 (3349 cm-1), respectively. The worse linear range of the ISFET is caused by the presence of oxygen on the surface of the silicon nitride sensitive film. The existence of chemical bonding configurations of Si-H, N-H and N-Si on its surfaces is favourable for its pH response.

Relevância:

40.00% 40.00%

Publicador:

Resumo:

Neutron transmutation doped (NTD) silicon crystals grown in a hydrogen atmosphere have been investigated by infrared absorption spectroscopy at a low temperature (10 K). An effective-mass-like donor state HD0/+ has been found at 110.8 me V below the conduction band bottom after rapid thermal annealing (RTA). The HD0/+ formation mechanism after NTD and RTA is briefly discussed, and tentatively attributed to H atoms present in the vicinity of some residual irradiation defects, like a complex of a H atom and a H-saturated vacancy.