993 resultados para LIFT-OFF


Relevância:

100.00% 100.00%

Publicador:

Resumo:

With respect to GaAs epitaxial lift-off technology, we report here the optimum atomic spacing (5-10 nm) needed to etch off the AlAs release layer that is sandwiched between two GaAs epitaxial layers. The AlAs etching rate in hydrofluoric acid based solutions was monitored as a function of release layer thickness. We found a sudden quenching in the etching rate, approximately 20 times that of the peak value, at lower dimensions (similar to2.5 nm) of the AlAs epitaxial layer. Since this cannot be explained on the basis of a previous theory (inverse square root of release layer thickness), we propose a diffusion-limited mechanism to explain this reaction process. With the diffusion constant being a mean-free-path-dependent parameter, a relation between the mean free path and the width of the channel is considered. This relation is in reasonable agreement with the experimental results and gives a good physical insight to the reaction kinetics.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

The probability distribution of lift-off velocity of the saltating grains is a bridge to linking microscopic and macroscopic research of aeolian sand transport. The lift-off parameters of saltating grains (i.e., the horizontal and vertical lift-off velocities, resultant lift-off velocity, and lift-off angle) in a wind tunnel are measured by using a Phase Doppler Particle Analyzer (PDPA). The experimental results show that the probability distribution of horizontal lift-off velocity of saltating particles on a bed surface is a normal function, and that of vertical lift-off velocity is an exponential function. The probability distribution of resultant lift-off velocity of saltating grains can be expressed as a log-normal function, and that of lift-off angle complies with an exponential function. A numerical model for the vertical distribution of aeolian mass flux based on the probability distribution of lift-off velocity is established. The simulation gives a sand mass flux distribution which is consistent with the field data of Namikas (Namikas, S.L., 2003. Field measurement and numerical modelling of acolian mass flux distributions on a sandy beach, Sedimentology 50, 303-326). Therefore, these findings are helpful to further understand the probability characteristics of lift-off grains in aeolian sand transport. (c) 2007 Elsevier B.V. All rights reserved.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

A simple and efficient method for patterning polymeric semiconductors for applications in the field of organic electronics is proposed. The entire polymer layer, except for the desired pattern, is selectively lifted off from a flat poly(dimethylsiloxane) (PDMS) stamp surface by an epoxy mold with a relief pattern. This is advantageous because the elastic deformation of the PDMS stamp around protrusions of a patterned stamp under pressure can assist the plastic deformation of a polymer film along the pattern edges, yielding large area and high quality patterns, and the PDMS surface has low surface energy, which allows the easy removal of the polymer film.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

Drilling fluid`s contact with the productive zone of horizontal or complex wells can reduce well productivity by fluid invasion in the borehole wall. Salted drilling drill-in fluid containing polymers has often been applied in horizontal or complex petroleum wells in the poorly consolidated sandstone reservoirs of the Campos basin, Rio de Janeiro, Brazil. This fluid usually consists of natural polymers such as starch and xanthan gum, which are deposited as a filter cake on the wellbore wall during the drilling. Therefore, the identification of a lift-off mechanism failure, which can be detachment or blistering and pinholing, will enable formulation improvements. increasing the chances of success during filter cake removal in open hole operations. Likewise, knowledge of drill-in drilling fluid adsorption/desorption onto sand can help understand the filter cake-rock adhesion mechanism and consequently filter cake lift-off mechanism failures. The present study aimed to identify the lift-off failure mechanism for this type of fluid filter cake studying adsorption/desorption onto SiO(2) using solutions of natural polymers, lubricants, besides the fluid itself. Ellipsometry was employed to measure this process. The adsorption/desorption studies showed that the adsorbed layer of drilling fluid onto the walls of the rock pores is made up of clusters of polymers, linked by hydrogen bonds, which results in a force of lower cohesion compared to the electrostatic interaction between silica and polymers. Consequently, it was found that the most probable filter cake failure mechanism is rupture (blistering and pinholing), which results in the formation of ducts within the filter cake. (C) 2009 Elsevier B.V. All rights reserved.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

We report a process for the lift-off of an ultrathin Si layer. By plasma hydrogenation of a molecular-beam-epitaxy-grown heterostructure of SiSb-doped-SiSi, ultrashallow cracking is controlled to occur at the depth of the Sb-doped layer. Prior to hydrogenation, an oxygen plasma treatment is used to induce the formation of a thin oxide layer on the surface of the heterostructure. Chemical etching of the surface oxide layer after hydrogenation further thins the thickness of the separated Si layer to be only 15 nm. Mechanisms of hydrogen trapping and strain-facilitated cracking are discussed. © 2005 American Institute of Physics.

Relevância:

100.00% 100.00%

Publicador:

Resumo:

The structure of a turbulent non-premixed flame of a biogas fuel in a hot and diluted coflow mimicking moderate and intense low dilution (MILD) combustion is studied numerically. Biogas fuel is obtained by dilution of Dutch natural gas (DNG) with CO2. The results of biogas combustion are compared with those of DNG combustion in the Delft Jet-in-Hot-Coflow (DJHC) burner. New experimental measurements of lift-off height and of velocity and temperature statistics have been made to provide a database for evaluating the capability of numerical methods in predicting the flame structure. Compared to the lift-off height of the DNG flame, addition of 30 % carbon dioxide to the fuel increases the lift-off height by less than 15 %. Numerical simulations are conducted by solving the RANS equations using Reynolds stress model (RSM) as turbulence model in combination with EDC (Eddy Dissipation Concept) and transported probability density function (PDF) as turbulence-chemistry interaction models. The DRM19 reduced mechanism is used as chemical kinetics with the EDC model. A tabulated chemistry model based on the Flamelet Generated Manifold (FGM) is adopted in the PDF method. The table describes a non-adiabatic three stream mixing problem between fuel, coflow and ambient air based on igniting counterflow diffusion flamelets. The results show that the EDC/DRM19 and PDF/FGM models predict the experimentally observed decreasing trend of lift-off height with increase of the coflow temperature. Although more detailed chemistry is used with EDC, the temperature fluctuations at the coflow inlet (approximately 100K) cannot be included resulting in a significant overprediction of the flame temperature. Only the PDF modeling results with temperature fluctuations predict the correct mean temperature profiles of the biogas case and compare well with the experimental temperature distributions.

Relevância:

70.00% 70.00%

Publicador:

Resumo:

Among the intelligent safety technologies for road vehicles, active suspensions controlled by embedded computing elements for preventing rollover have received a lot of attention. The existing models for synthesizing and allocating forces in such suspensions are conservatively based on the constraints that are valid until no wheels lift off the ground. However, the fault tolerance of the rollover-preventive systems can be enhanced if the smart/active suspensions can intervene in the more severe situation in which the wheels have just lifted off the ground. The difficulty in computing control in the last situation is that the vehicle dynamics then passes into the regime that yields a model involving disjunctive constraints on the dynamics. Simulation of dynamics with disjunctive constraints in this context becomes necessary to estimate, synthesize, and allocate the intended hardware realizable forces in an active suspension. In this paper, we give an algorithm for the previously mentioned problem by solving it as a disjunctive dynamic optimization problem. Based on this, we synthesize and allocate the roll-stabilizing time-dependent active suspension forces in terms of sensor output data. We show that the forces obtained from disjunctive dynamics are comparable with existing force allocations and, hence, are possibly realizable in the existing hardware framework toward enhancing the safety and fault tolerance.

Relevância:

60.00% 60.00%

Publicador:

Resumo:

Multiscale, multiphase numerical modeling is used to explain the mechanisms of effective control of chirality distributions of single-walled carbon nanotubes in direct plasma growth and suggest effective approaches to further improvement. The model includes an unprecedented combination of the plasma sheath, ion/radical transport, species creation/loss, plasma–surface interaction, heat transfer, surface/bulk diffusion, graphene layer nucleation, and bending/lift-off modules. It is shown that the constructive interplay between the plasma and the Gibbs–Thomson effect can lead to the effective nucleation and lift-off of small graphene layers on small metal catalyst nanoparticles. As a result, much thinner nanotubes with narrower chirality distributions can nucleate at much lower process temperatures and pressures compared to thermal CVD. This approach is validated by a host of experimental results, substantially reduces the amounts of energy and atomic matter required for the nanotube growth, and can be extended to other nanoscale structures and materials systems, thereby nearing the ultimate goal of energy- and matter-efficient nanotechnology.

Relevância:

60.00% 60.00%

Publicador:

Resumo:

Lifted turbulent jet diffusion flame is simulated using Conditional Moment Closure (CMC). Specifically, the burner configuration of Cabra et al. [R. Cabra, T. Myhrvold, J.Y. Chen. R.W. Dibble, A.N. Karpetis, R.S. Barlow, Proc. Combust. Inst. 29 (2002) 1881-1887] is chosen to investigate H-2/N-2 jet flame supported by a vitiated coflow of products of lean H-2/air combustion. A 2D, axisymmetric flow-model fully coupled with the scalar fields, is employed. A detailed chemical kinetic scheme is included, and first order CIVIC is applied. Simulations are carried out for different jet velocities and coflow temperatures (T-c) The predicted liftoff generally agrees with experimental data, as well as joint-PDF results. Profiles of mean scalar fluxes in the mixture fraction space, for T-c = 1025 and 1080 K reveal that (1) Inside the flame zone, the chemical term balances the molecular diffusion term, and hence the Structure is of a diffusion flamelet for both cases. (2) In the pre-flame zone, the structure depends on the coflow temperature: for the 1025 K case, the chemical term being small, the advective term balances the axial turbulent diffusion term. However, for the 1080 K case. the chemical term is large and balances the advective term, the axial turbulent diffusion term being small. It is concluded that, lift-off is controlled (a) by turbulent premixed flame propagation for low coflow temperature while (b) by autoignition for high coflow temperature. (C) 2009 The Combustion Institute. Published by Elsevier Inc. All rights reserved.

Relevância:

60.00% 60.00%

Publicador:

Resumo:

Atomic layer deposition (ALD) is a method to deposit thin films from gaseous precursors to the substrate layer-by-layer so that the film thickness can be tailored with atomic layer accuracy. Film tailoring is even further emphasized with selective-area ALD which enables the film growth to be controlled also on the substrate surface. Selective-area ALD allows the decrease of a process steps in preparing thin film devices. This can be of a great technological importance when the ALD films become into wider use in different applications. Selective-area ALD can be achieved by passivation or activation of a surface. In this work ALD growth was prevented by octadecyltrimethoxysilane, octadecyltrichlorosilane and 1-dodecanethiol SAMs, and by PMMA (polymethyl methacrylate) and PVP (poly(vinyl pyrrolidone) polymer films. SAMs were prepared from vapor phase and by microcontact printing, and polymer films were spin coated. Microcontact printing created patterned SAMs at once. The SAMs prepared from vapor phase and the polymer mask layers were patterned by UV lithography or lift-off process so that after preparation of a continuous mask layer selected areas of them were removed. On these areas the ALD film was deposited selectively. SAMs and polymer films prevented the growth in several ALD processes such as iridium, ruthenium, platinum, TiO2 and polyimide so that the ALD films did grow only on areas without SAM or polymer mask layer. PMMA and PVP films also protected the surface against Al2O3 and ZrO2 growth. Activation of the surface for ALD of ruthenium was achieved by preparing a RuOX layer by microcontact printing. At low temperatures the RuCp2-O2 process nucleated only on this oxidative activation layer but not on bare silicon.