997 resultados para plasma sputtering


Relevância:

30.00% 30.00%

Publicador:

Resumo:

Examples of successful fabrication of low-dimensional semiconducting nanomaterials in the Integrated Plasma-Aided Nanofabrication Facility are shown. Self-assembled size-uniform ZnO nanoparticles, ultra-high-aspect ratio Si nanowires, vertically aligned cadmium sulfide nanostructures, and quarternary semiconducting SiCAlN nanomaterial have been synthesized using inductively coupled plasma-assisted RF magnetron sputtering deposition. The observed increase in crystallinity and growth rates of the nanostructures are explained by using a model of plasma-enhanced adatom surface diffusion under conditions of local energy exchange between the ion flux and the growth surface. Issues related to plasma-based growth of low-dimensional semiconducting nanomaterials are discussed as well. © 2007 Elsevier B.V. All rights reserved.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

This contribution provides arguments why and in which cases low-temperature plasmas should be used for nanoscale surface and interface engineering and discusses several advantages offered by plasma-based processes and tools compared to neutral gas fabrication routes. Relevant processes involve nanotexturing (etching, sputtering, nanostructuring, pre-patterning, etc.) and composition/structure control at nanoscales (phases, layering, elemental presence, doping, functionalization, etc.) and complex combinations thereof. A case study in p-Si/n-Si solar cell junction exemplifies a successful use of inductively coupled plasma-assisted RF magnetron sputtering for nanoscale fabrication of a bi-layered stack of unconventionally doped highly-crystalline silicon nanofilms with engineered high-quality interfaces.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

This contribution sheds light on the role of crystal size and phase composition in inducing biomimetic apatite growth on the surface of nanostructured titania films synthesized by reactive magnetron sputtering of Ti targets in Ar+O2 plasmas. Unlike most existing techniques, this method enables one to deposit highly crystalline titania films with a wide range of phase composition and nanocrystal size, without any substrate heating or postannealing. Moreover, by using this dry plasma-based method one can avoid surface hydroxylation at the deposition stage, almost inevitable in wet chemical processes. Results of this work show that high phase purity and optimum crystal size appear to be the essential requirement for efficient apatite formation on magnetron plasma-fabricated bioactive titania coatings. © 2006 Wiley Periodicals, Inc.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

Experimental investigation of functionally graded calcium phosphate-based bio-active films on Ti-6A1-4V orthopaedic alloy prepared in an RF magnetron sputtering plasma reactor is reported. The technique involves concurrent sputtering of Hydroxyapatite (HA) and Ti targets, which results in remarkably enhanced adhesion of the film to the substrate and stability of the interface. The films have been characterized using X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS). The XPS data show that the films are composed of O, Ca, P and Ti, and reveal the formation of O=P groups and hybridization of O-Ca-P. The XRD pattern shows that the Ca-P thin films are of crystalline calcium oxide phosphate (4CaO·P2O5) with preferred orientation varying with processing parameters. High-resolution optical emission spectra show that the emission of CaO is dominant. The CaO, PO and CaPO species are strongly influenced by deposition conditions. The introduction of Ti element during deposition provides a stable interface between bio-inert substrates Ti-6A1-4V and bioactive HA coating. In-vitro cell culturing tests suggest excellent biocompatibility of the Ca-P-Ti films.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

Controlled nuclear fusion is one of the most promising sources of energy for the future. Before this goal can be achieved, one must be able to control the enormous energy densities which are present in the core plasma in a fusion reactor. In order to be able to predict the evolution and thereby the lifetime of different plasma facing materials under reactor-relevant conditions, the interaction of atoms and molecules with plasma first wall surfaces have to be studied in detail. In this thesis, the fundamental sticking and erosion processes of carbon-based materials, the nature of hydrocarbon species released from plasma-facing surfaces, and the evolution of the components under cumulative bombardment by atoms and molecules have been investigated by means of molecular dynamics simulations using both analytic potentials and a semi-empirical tight-binding method. The sticking cross-section of CH3 radicals at unsaturated carbon sites at diamond (111) surfaces is observed to decrease with increasing angle of incidence, a dependence which can be described by a simple geometrical model. The simulations furthermore show the sticking cross-section of CH3 radicals to be strongly dependent on the local neighborhood of the unsaturated carbon site. The erosion of amorphous hydrogenated carbon surfaces by helium, neon, and argon ions in combination with hydrogen at energies ranging from 2 to 10 eV is studied using both non-cumulative and cumulative bombardment simulations. The results show no significant differences between sputtering yields obtained from bombardment simulations with different noble gas ions. The final simulation cells from the 5 and 10 eV ion bombardment simulations, however, show marked differences in surface morphology. In further simulations the behavior of amorphous hydrogenated carbon surfaces under bombardment with D^+, D^+2, and D^+3 ions in the energy range from 2 to 30 eV has been investigated. The total chemical sputtering yields indicate that molecular projectiles lead to larger sputtering yields than atomic projectiles. Finally, the effect of hydrogen ion bombardment of both crystalline and amorphous tungsten carbide surfaces is studied. Prolonged bombardment is found to lead to the formation of an amorphous tungsten carbide layer, regardless of the initial structure of the sample. In agreement with experiment, preferential sputtering of carbon is observed in both the cumulative and non-cumulative simulations

Relevância:

30.00% 30.00%

Publicador:

Resumo:

A better understanding of vacuum arcs is desirable in many of today's 'big science' projects including linear colliders, fusion devices, and satellite systems. For the Compact Linear Collider (CLIC) design, radio-frequency (RF) breakdowns occurring in accelerating cavities influence efficiency optimisation and cost reduction issues. Studying vacuum arcs both theoretically as well as experimentally under well-defined and reproducible direct-current (DC) conditions is the first step towards exploring RF breakdowns. In this thesis, we have studied Cu DC vacuum arcs with a combination of experiments, a particle-in-cell (PIC) model of the arc plasma, and molecular dynamics (MD) simulations of the subsequent surface damaging mechanism. We have also developed the 2D Arc-PIC code and the physics model incorporated in it, especially for the purpose of modelling the plasma initiation in vacuum arcs. Assuming the presence of a field emitter at the cathode initially, we have identified the conditions for plasma formation and have studied the transitions from field emission stage to a fully developed arc. The 'footing' of the plasma is the cathode spot that supplies the arc continuously with particles; the high-density core of the plasma is located above this cathode spot. Our results have shown that once an arc plasma is initiated, and as long as energy is available, the arc is self-maintaining due to the plasma sheath that ensures enhanced field emission and sputtering. The plasma model can already give an estimate on how the time-to-breakdown changes with the neutral evaporation rate, which is yet to be determined by atomistic simulations. Due to the non-linearity of the problem, we have also performed a code-to-code comparison. The reproducibility of plasma behaviour and time-to-breakdown with independent codes increased confidence in the results presented here. Our MD simulations identified high-flux, high-energy ion bombardment as a possible mechanism forming the early-stage surface damage in vacuum arcs. In this mechanism, sputtering occurs mostly in clusters, as a consequence of overlapping heat spikes. Different-sized experimental and simulated craters were found to be self-similar with a crater depth-to-width ratio of about 0.23 (sim) - 0.26 (exp). Experiments, which we carried out to investigate the energy dependence of DC breakdown properties, point at an intrinsic connection between DC and RF scaling laws and suggest the possibility of accumulative effects influencing the field enhancement factor.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

The kinetics of the processes in facing targets sputtering of multicomponent oxide films is presented. The novel configuration of the process exhibits an enhanced ionization efficiency. Discharge diagnostics performed using optical emission spectroscopy revealed strong dependence of plasma parameters on process conditions. Numerical simulation based on thermalization and diffusion of sputtered atoms has been performed to estimate the transport efficiency in off-axis mode. Composition, structure and epitaxial quality of YBa2Cu3O7-x films prepared was found to be strongly dependent on atomic flux ratios (of Cu/Y and Ba/Y) arriving at the substrate, resputtering effect and phase stability of YBa2Cu3O7-x These studies have been shown to be useful in understanding the complex processes that occur in sputtering of multicomponent films. (C) 1999 Elsevier Science S.A. All rights reserved.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

Amorphous hydrogenated silicon (a-Si:H) is well-known material in the global semiconductor industry. The quality of the a-Si:H films is generally decided by silicon and hydrogen bonding configuration (Si-H-x, x=1,2) and hydrogen concentration (C-H). These quality aspects are correlated with the plasma parameters like ion density (N-i) and electron temperature (T-e) of DC, Pulsed DC (PDC) and RF plasmas during the sputter-deposition of a-Si:H thin films. It was found that the N-i and T-e play a major role in deciding Si-H-x bonding configuration and the C-H value in a-Si:H films. We observed a trend in the variation of Si-H and Si-H-2 bonding configurations, and C-H in the films deposited by DC, Pulsed DC and RF reactive sputtering techniques. Ion density and electron energy are higher in RF plasma followed by PDC and DC plasma. Electrons with two different energies were observed in all the plasmas. At a particular hydrogen partial pressure, RF deposited films have higher C-H followed by PDC and then DC deposited films. The maximum energy that can be acquired by the ions was found to be higher in RF plasma. Floating potential (V-f) is more negative in DC plasma, whereas, plasma potential (V-p) is found to be more positive in RF plasma. (C) 2014 Elsevier Ltd. All rights reserved.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

The majority of attempts to synthesize the theoretically predicted superhard phase β-C3N4 have been driven towards the use of techniques which maximize both the carbon sp3 levels and the amount of nitrogen incorporated within the film. However, as yet no attempt has been made to understand the mechanism behind the resultant chemical sputter process and its obvious effect upon film growth. In this work, however, the chemical sputtering process has been investigated through the use of an as-deposited tetrahedrally bonded amorphous carbon film with a high density nitrogen plasma produced using an rf-based electron cyclotron wave resonance source. The results obtained suggested the presence of two distinct ion energy dependent regimes. The first, below 100 eV, involves the chemical sputtering of carbon from the surface, whereas the second at ion energies in excess of 100 eV exhibits a drop in sputter rate associated with the subplantation of nitrogen within the carbon matrix. Furthermore, as the sample temperature is increased there is a concomitant decrease in sputter rate suggesting that the rate is controlled by the adsorption and desorption of additional precursor species rather than the thermal desorption of CN. A simple empirical model has been developed in order to elucidate some of the primary reactions involved in the sputter process. Through the incorporation of various previously determined experimental parameters including electron temperature, ion current density, and nitrogen partial pressure the results indicated that molecular nitrogen physisorbed at the ta-C surface was the dominant precursor involved in the chemical sputter process. However, as the physisorption enthalpy of molecular nitrogen is low this suggests that activation of this molecular species takes place only through ion impact at the surface. The obtained results therefore provide important information for the modeling and growth of high density carbon nitride. © 2001 American Institute of Physics.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

The effects of oxygen partial pressure on the structure and photoluminescence (PL) of ZnO films were studied. The films were prepared by direct current (DC) reactive magnetron sputtering with various oxygen concentrations at room temperature. With increasing oxygen ratio, the structure of films changes from zinc and zinc oxide phases, single-phase ZnO, to the (002) orientation, and the mechanical stresses exhibit from tensile stress to compressive stress. Films deposited at higher oxygen pressure show weaker emission intensities, which may result from the decrease of the oxygen vacancies and zinc interstitials in the film. This indicates that the emission in ZnO film originates from the oxygen vacancy and zinc interstitial-related defects. From optical transmittance spectra of ZnO films, the plasma edge shifts towards the shorter wavelength with the improvement of film stoichiometry. (C) 2004 Elsevier B.V. All rights reserved.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

YBCO thin films are currently used in several HTS-based electronics applications. The performance of devices, which may include microwave passive components (filters, resonators), grain boundary junctions or spintronic multilayer structures, is determined by film quality, which in turn depends on the deposition technology used and growth parameters. We report on results from nonintrusive Optical Emission Spectroscopy of the plasma during YBCO thin film deposition in a high-pressure on-axis sputtering system under different conditions, including small trace gas additions to the sputtering gas. We correlate these results with the compositional and structural changes which affect the DC and microwave properties of YBCO films. Film morphology, composition, structure and in- and out-of-plane orientation were assessed; T, and microwave surface resistance measurements were made using inductive and resonator techniques. Comparison was made with films sputtered in an off-axis 2-opposing magnetron system.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

We studied the effects of hydrogen plasma treatment on the electrical and optical properties of ZnO films deposited by radio frequency magnetron sputtering. It is found that the ZnO H film is highly transparent with the average transmittance of 92% in the visible range. Both carrier concentration and mobility are increased after hydrogen plasma treatment, correspondingly, the resistivity of the ZnO H films achieves the order of 10(-3) cm. We suggest that the incorporated hydrogen not only passivates most of the defects and/or acceptors present, but also introduces shallow donor states such as the V-O-H complex and the interstitial hydrogen H-i. Moreover, the annealing data indicate that H-i is unstable in ZnO, while the V-O-H complex remains stable on the whole at 400 degrees C, and the latter diffuses out when the annealing temperature increases to 500 degrees C. These results make ZnO H more attractive for future applications as transparent conducting electrodes.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

The ZnO films deposited by magnetron sputtering were treated by H/O plasma. It is found that the field emission (FE) characteristics of the ZnO film are considerably improved after H-plasma treatment and slightly deteriorated after O-plasma treatment. The improvement of FE characteristics is attributed to the reduced work function and the increased conductivity of the ZnO H films. Conductive atomic force microscopy was employed to investigate the effect of the plasma treatment on the nanoscale conductivity of ZnO, these findings correlate well with the FE data and facilitate a clearer description of electron emission from the ZnO H films.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

Inductively coupled plasma (ICP) etching of InP in Cl-2/BCl3 gas mixtures is studied in order to achieve low-damage and high-anisotropy etching of two-dimensional InP/InGaAsP photonic crystal. The etching mechanisms are discussed and the effect of plasma heating on wafer during etching is analyzed. It is shown that the balance between the undercut originating from plasma heating and the redeposition of sputtering on the side-wall is crucial for highly anisotropic etching, and the balance point moves toward lower bias when the ICP power is increased. High aspect-ratio etching at the DC bias of 203 V is obtained. Eventually, photonic crystal structure with nearly 90 degrees side-wall is achieved at low DC bias after optimization of the gas mixture.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

La réalisation de dispositifs à des dimensions sous-micrométriques et nanométriques demande une maîtrise parfaite des procédés de fabrication, notamment ceux de gravure. La réalisation des ces dispositifs est complexe et les exigences en termes de qualité et de géométrie des profils de gravure imposent de choisir les conditions opératoires les mieux adaptées. Les simulations de l'évolution spatio-temporelle des profils de gravure que nous proposons dans cette thèse s'inscrivent parfaitement dans ce contexte. Le simulateur que nous avons réalisé offre la possibilité de mieux comprendre les processus qui entrent en jeu lors de la gravure par plasma de profils dans divers matériaux. Il permet de tester l'influence des paramètres du plasma sur la forme du profil et donc de déterminer les conditions opératoires optimales. La mise au point de ce simulateur s'appuie sur les concepts fondamentaux qui gouvernent la gravure par plasma. À partir de l'état des lieux des différentes approches numériques pouvant être utilisées, nous avons élaboré un algorithme stable et adaptable permettant de mettre en évidence l'importance de certains paramètres clés pour la réalisation de profils de gravure par un plasma à haute densité et à basse pression. Les capacités de cet algorithme ont été testées en étudiant d'une part la pulvérisation de Si dans un plasma d'argon et d'autre part, la gravure chimique assistée par les ions de SiO2/Si dans un plasma de chlore. Grâce aux comparaisons entre profils simulés et expérimentaux, nous avons montré l'importance du choix de certains paramètres, comme la nature du gaz utilisé et la pression du plasma, la forme initiale du masque, la sélectivité masque/matériau, le rapport de flux neutre/ion, etc. Nous avons aussi lié ces paramètres à la formation de défauts dans les profils, par exemple celle de facettes sur le masque, de parois concaves, et de micro-tranchées. Enfin, nous avons montré que le phénomène de redépôt des atomes pulvérisés entre en compétition avec la charge électrique de surface pour expliquer la formation de profils en V dans le Pt pulvérisé par un plasma d'argon.