970 resultados para photonic integrated circuit


Relevância:

80.00% 80.00%

Publicador:

Resumo:

Les systèmes multiprocesseurs sur puce électronique (On-Chip Multiprocessor [OCM]) sont considérés comme les meilleures structures pour occuper l'espace disponible sur les circuits intégrés actuels. Dans nos travaux, nous nous intéressons à un modèle architectural, appelé architecture isométrique de systèmes multiprocesseurs sur puce, qui permet d'évaluer, de prédire et d'optimiser les systèmes OCM en misant sur une organisation efficace des nœuds (processeurs et mémoires), et à des méthodologies qui permettent d'utiliser efficacement ces architectures. Dans la première partie de la thèse, nous nous intéressons à la topologie du modèle et nous proposons une architecture qui permet d'utiliser efficacement et massivement les mémoires sur la puce. Les processeurs et les mémoires sont organisés selon une approche isométrique qui consiste à rapprocher les données des processus plutôt que d'optimiser les transferts entre les processeurs et les mémoires disposés de manière conventionnelle. L'architecture est un modèle maillé en trois dimensions. La disposition des unités sur ce modèle est inspirée de la structure cristalline du chlorure de sodium (NaCl), où chaque processeur peut accéder à six mémoires à la fois et où chaque mémoire peut communiquer avec autant de processeurs à la fois. Dans la deuxième partie de notre travail, nous nous intéressons à une méthodologie de décomposition où le nombre de nœuds du modèle est idéal et peut être déterminé à partir d'une spécification matricielle de l'application qui est traitée par le modèle proposé. Sachant que la performance d'un modèle dépend de la quantité de flot de données échangées entre ses unités, en l'occurrence leur nombre, et notre but étant de garantir une bonne performance de calcul en fonction de l'application traitée, nous proposons de trouver le nombre idéal de processeurs et de mémoires du système à construire. Aussi, considérons-nous la décomposition de la spécification du modèle à construire ou de l'application à traiter en fonction de l'équilibre de charge des unités. Nous proposons ainsi une approche de décomposition sur trois points : la transformation de la spécification ou de l'application en une matrice d'incidence dont les éléments sont les flots de données entre les processus et les données, une nouvelle méthodologie basée sur le problème de la formation des cellules (Cell Formation Problem [CFP]), et un équilibre de charge de processus dans les processeurs et de données dans les mémoires. Dans la troisième partie, toujours dans le souci de concevoir un système efficace et performant, nous nous intéressons à l'affectation des processeurs et des mémoires par une méthodologie en deux étapes. Dans un premier temps, nous affectons des unités aux nœuds du système, considéré ici comme un graphe non orienté, et dans un deuxième temps, nous affectons des valeurs aux arcs de ce graphe. Pour l'affectation, nous proposons une modélisation des applications décomposées en utilisant une approche matricielle et l'utilisation du problème d'affectation quadratique (Quadratic Assignment Problem [QAP]). Pour l'affectation de valeurs aux arcs, nous proposons une approche de perturbation graduelle, afin de chercher la meilleure combinaison du coût de l'affectation, ceci en respectant certains paramètres comme la température, la dissipation de chaleur, la consommation d'énergie et la surface occupée par la puce. Le but ultime de ce travail est de proposer aux architectes de systèmes multiprocesseurs sur puce une méthodologie non traditionnelle et un outil systématique et efficace d'aide à la conception dès la phase de la spécification fonctionnelle du système.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

While channel coding is a standard method of improving a system’s energy efficiency in digital communications, its practice does not extend to high-speed links. Increasing demands in network speeds are placing a large burden on the energy efficiency of high-speed links and render the benefit of channel coding for these systems a timely subject. The low error rates of interest and the presence of residual intersymbol interference (ISI) caused by hardware constraints impede the analysis and simulation of coded high-speed links. Focusing on the residual ISI and combined noise as the dominant error mechanisms, this paper analyses error correlation through concepts of error region, channel signature, and correlation distance. This framework provides a deeper insight into joint error behaviours in high-speed links, extends the range of statistical simulation for coded high-speed links, and provides a case against the use of biased Monte Carlo methods in this setting

Relevância:

80.00% 80.00%

Publicador:

Resumo:

Thermally stable materials with low dielectric constant (k < 3.9) are being hotly pursued. They are essential as interlayer dielectrics/intermetal dielectrics in integrated circuit technology, which reduces parasitic capacitance and decreases the RC time constant. Most of the currently employed materials are based on silicon. Low k films based on organic polymers are supposed to be a viable alternative as they are easily processable and can be synthesized with simpler techniques. It is known that the employment of ac/rf plasma polymerization yields good quality organic thin films, which are homogenous, pinhole free and thermally stable. These polymer thin films are potential candidates for fabricating Schottky devices, storage batteries, LEDs, sensors, super capacitors and for EMI shielding. Recently, great efforts have been made in finding alternative methods to prepare low dielectric constant thin films in place of silicon-based materials. Polyaniline thin films were prepared by employing an rf plasma polymerization technique. Capacitance, dielectric loss, dielectric constant and ac conductivity were evaluated in the frequency range 100 Hz– 1 MHz. Capacitance and dielectric loss decrease with increase of frequency and increase with increase of temperature. This type of behaviour was found to be in good agreement with an existing model. The ac conductivity was calculated from the observed dielectric constant and is explained based on the Austin–Mott model for hopping conduction. These films exhibit low dielectric constant values, which are stable over a wide range of frequencies and are probable candidates for low k applications.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

One of the most prominent industrial applications of heat transfer science and engineering has been electronics thermal control. Driven by the relentless increase in spatial density of microelectronic devices, integrated circuit chip powers have risen by a factor of 100 over the past twenty years, with a somewhat smaller increase in heat flux. The traditional approaches using natural convection and forced-air cooling are becoming less viable as power levels increase. This paper provides a high-level overview of the thermal management problem from the perspective of a practitioner, as well as speculation on the prospects for electronics thermal engineering in years to come.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

Reconfigurable computing is becoming an important new alternative for implementing computations. Field programmable gate arrays (FPGAs) are the ideal integrated circuit technology to experiment with the potential benefits of using different strategies of circuit specialization by reconfiguration. The final form of the reconfiguration strategy is often non-trivial to determine. Consequently, in this paper, we examine strategies for reconfiguration and, based on our experience, propose general guidelines for the tradeoffs using an area-time metric called functional density. Three experiments are set up to explore different reconfiguration strategies for FPGAs applied to a systolic implementation of a scalar quantizer used as a case study. Quantitative results for each experiment are given. The regular nature of the example means that the results can be generalized to a wide class of industry-relevant problems based on arrays.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

A layer-encoded interactive evolutionary algorithm (IEA) for optimization of design parameters of a monolithic microwave integrated circuit (MMIC) low noise amplifier is presented. The IEA comprises a combination of the genetic algorithm (GA) and the particle swarm optimization (PSO) technique. The layer-encoding structure allows human intervention in order to accelerate the process of evolution, whereas the GA and PSO technique are incorporated to enhance both global and local searches. With this combination of features, the proposed IEA has shown to be efficient in meeting all requirements and constraints of the MMIC. In addition, the IEA is able to optimize noise figure, current, and power gain of the MMIC amplifier design.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

In this paper, an interactive genetic algorithm (IGA) approach is developed to optimize design variables for a monolithic microwave integrated circuit (MMIC) low noise amplifier. A layered encoding structure is employed to the problem representation in genetic algorithm to allow human intervention in the circuit design variable tuning process. The MMIC amplifier design is synthesized using the Agilent Advance Design System (ADS), and the IGA is proposed to tune the design variables in order to meet multiple constraints and objectives such as noise figure, current and simulated power gain. The developed IGA is compared with other optimization techniques from ADS. The results showed that the IGA performs better in achieving most of the involved objectives.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

Chemical mechanical polishing technique is more frequently adopted for planarization in integrated circuit fabrication. The silica abrasives in colloidal state are fabricated with the sodium silicate solution as raw materials through the polymerization reaction among silicic acid molecules. By continuous injection of silicic acid into the preexisting silica solution, the diameter of silica nanoparticles increases. The different sized silica nanoparticles are imaged by scanning electron microscopy, and the dried silica are characterized by X-ray diffraction and thermal analysis. The polishing test on silicon wafer with as-fabricated silica abrasives shows that the surface flatness reaches 1.1 nm roughness, however, micro scratches are still present in the surface.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

In this paper, the fabrication and growth mechanism of net-shaped micropatterned self-organized thin-film TiO2 nanotube (TFTN) arrays on a silicon substrate are reported. Electrochemical anodization is used to grow the nanotubes from thin-film titanium sputtered on a silicon substrate with an average diameter of ?30 nm and a length of ?1.5 ?m using aqueous and organic-based types of electrolytes. The fabrication and growth mechanism of TFTN arrays from micropatterned three-dimensional isolated islands of sputtered titanium on a silicon substrate is demonstrated for the first time using focused-ion-beam (FIB) technique. This work demonstrates the use of the FIB technique as a simple, high-resolution, and maskless method for high-aspect-ratio etching for the creation of isolated islands and shows great promise toward the use of the proposed approach for the development of metal oxide nanostructured devices and their integration with micro- and nanosystems within silicon-based integrated-circuit devices.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

This article provides a proposal for personal e-learning system (vPELS [where 'v' stands for VLSI: very large scale integrated circuit])) architecture in the context of social network environment for VLSI Design. The main objective of vPELS is to develop individual skills on a specific subject - say, VLSI - and share resources with peers. The authors' system architecture defines the organisation and management of the personal learning environment in such a way as to aid in creating, verifying and sharing learning artefacts and making money at the same time. The authors also focus in their research on one of the most interesting arenas in digital content or document management - Digital Rights Management (DRM) - and its application to e-learning.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

A low-cost system to generate, control and detect electrochemiluminescence using a mobile smartphone is described. A simple tone-detection integrated circuit is used to switch power sourced from the phone's Universal Serial Bus (USB) 'On-The-Go' (OTG) port, using audible tone pulses played over the device's audio jack. We have successfully applied this approach to smartphones from different manufacturers and with different operating system versions. ECL calibrations of a common luminophore, tris(2,2′-bipyridine)ruthenium(II) ([Ru(bpy)3]2+), with 2-(dibutylamino)ethanol (DBAE) as a co-reactant, showed no significant difference in light intensities when an electrochemical cell was controlled by a mobile phone in this manner, compared to the same calibration generated using a conventional potentiostat. Combining this novel approach to control the applied potential with the measurement of the emitted light through the smart phone camera (using an in-house built Android app), we explored the ECL properties of a water-soluble iridium(III) complex that emits in the blue region of the spectrum. The iridium(III) complex exhibited superior co-reactant ECL intensities and limits of detection to that of the conventional [Ru(bpy)3]2+ luminophore.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

Tests on printed circuit boards and integrated circuits are widely used in industry,resulting in reduced design time and cost of a project. The functional and connectivity tests in this type of circuits soon began to be a concern for the manufacturers, leading to research for solutions that would allow a reliable, quick, cheap and universal solution. Initially, using test schemes were based on a set of needles that was connected to inputs and outputs of the integrated circuit board (bed-of-nails), to which signals were applied, in order to verify whether the circuit was according to the specifications and could be assembled in the production line. With the development of projects, circuit miniaturization, improvement of the production processes, improvement of the materials used, as well as the increase in the number of circuits, it was necessary to search for another solution. Thus Boundary-Scan Testing was developed which operates on the border of integrated circuits and allows testing the connectivity of the input and the output ports of a circuit. The Boundary-Scan Testing method was converted into a standard, in 1990, by the IEEE organization, being known as the IEEE 1149.1 Standard. Since then a large number of manufacturers have adopted this standard in their products. This master thesis has, as main objective: the design of Boundary-Scan Testing in an image sensor in CMOS technology, analyzing the standard requirements, the process used in the prototype production, developing the design and layout of Boundary-Scan and analyzing obtained results after production. Chapter 1 presents briefly the evolution of testing procedures used in industry, developments and applications of image sensors and the motivation for the use of architecture Boundary-Scan Testing. Chapter 2 explores the fundamentals of Boundary-Scan Testing and image sensors, starting with the Boundary-Scan architecture defined in the Standard, where functional blocks are analyzed. This understanding is necessary to implement the design on an image sensor. It also explains the architecture of image sensors currently used, focusing on sensors with a large number of inputs and outputs.Chapter 3 describes the design of the Boundary-Scan implemented and starts to analyse the design and functions of the prototype, the used software, the designs and simulations of the functional blocks of the Boundary-Scan implemented. Chapter 4 presents the layout process used based on the design developed on chapter 3, describing the software used for this purpose, the planning of the layout location (floorplan) and its dimensions, the layout of individual blocks, checks in terms of layout rules, the comparison with the final design and finally the simulation. Chapter 5 describes how the functional tests were performed to verify the design compliancy with the specifications of Standard IEEE 1149.1. These tests were focused on the application of signals to input and output ports of the produced prototype. Chapter 6 presents the conclusions that were taken throughout the execution of the work.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

Hypertension is a dangerous disease that can cause serious harm to a patient health. In some situations the necessity to control this pressure is even greater, as in surgical procedures and post-surgical patients. To decrease the chances of a complication, it is necessary to reduce blood pressure as soon as possible. Continuous infusion of vasodilators drugs, such as sodium nitroprusside (SNP), rapidly decreased blood pressure in most patients, avoiding major problems. Maintaining the desired blood pressure requires constant monitoring of arterial blood pressure and frequently adjusting the drug infusion rate. Manual control of arterial blood pressure by clinical personnel is very demanding, time consuming and, as a result, sometimes of poor quality. Thus, the aim of this work is the design and implementation of a database of tuned controllers based on patients models, in order to find a suitable PID to be embedded in a Programmable Integrated Circuit (PIC), which has a smaller cost, smaller size and lower power consumption. For best results in controlling the blood pressure and choosing the adequate controller, tuning algorithms, system identification techniques and Smith predictor are used. This work also introduces a monitoring system to assist in detecting anomalies and optimize the process of patient care.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

In this work, the transmission line method is explored on the study of the propagation phenomenon in nonhomogeneous walls with finite thickness. It is evaluated the efficiency and applicability of the method, considering materials like gypsum, wood and brick, found in the composition of the structures of walls in question. The results obtained in this work are compared to those available in the literature, for several particular cases. A good agreement is observed, showing that the performed analysis is accurate and efficient in modeling, for instance, the wave propagation through building walls and integrated circuit layers in mobile communication and radar system applications. Later, simulations of resistive sheets devices such as Salisbury screens and Jaumann absorbers and of transmission lines made of metal-insulator-semiconductor (MIS) are made. Thereafter, it is described a study on frequency surface selective structures (FSS). It is proposed the development of devices and microwave integrated circuits (MIC) of such structures, for the accomplishment of experiments. Finally, future works are suggested, for instance, on the development of reflectarrays, frequency selective surfaces with dissimilar elements, and coupled frequency selective surfaces with elements located on different layers