10 resultados para Elliott, Chalmers (Bump)

em CORA - Cork Open Research Archive - University College Cork - Ireland


Relevância:

10.00% 10.00%

Publicador:

Resumo:

Thin film dielectrics based on titanium, zirconium or hafnium oxides are being introduced to increase the permittivity of insulating layers in transistors for micro/nanoelectronics and memory devices. Atomic layer deposition (ALD) is the process of choice for fabricating these films, as it allows for high control of composition and thickness in thin, conformal films which can be deposited on substrates with high aspect-ratio features. The success of this method depends crucially on the chemical properties of the precursor molecules. A successful ALD precursor should be volatile, stable in the gas-phase, but reactive on the substrate and growing surface, leading to inert by-products. In recent years, many different ALD precursors for metal oxides have been developed, but many of them suffer from low thermal stability. Much promise is shown by group 4 metal precursors that contain cyclopentadienyl (Cp = C5H5-xRx) ligands. One of the main advantages of Cp precursors is their thermal stability. In this work ab initio calculations were carried out at the level of density functional theory (DFT) on a range of heteroleptic metallocenes [M(Cp)4-n(L)n], M = Hf/Zr/Ti, L = Me and OMe, in order to find mechanistic reasons for their observed behaviour during ALD. Based on optimized monomer structures, reactivity is analyzed with respect to ligand elimination. The order in which different ligands are eliminated during ALD follows their energetics which was in agreement with experimental measurements. Titanocene-derived precursors, TiCp*(OMe)3, do not yield TiO2 films in atomic layer deposition (ALD) with water, while Ti(OMe)4 does. DFT was used to model the ALD reaction sequence and find the reason for the difference in growth behaviour. Both precursors adsorb initially via hydrogen-bonding. The simulations reveal that the Cp* ligand of TiCp*(OMe)3 lowers the Lewis acidity of the Ti centre and prevents its coordination to surface O (densification) during both of the ALD pulses. Blocking this step hindered further ALD reactions and for that reason no ALD growth is observed from TiCp*(OMe)3 and water. The thermal stability in the gas phase of Ti, Zr and Hf precursors that contain cyclopentadienyl ligands was also considered. The reaction that was found using DFT is an intramolecular α-H transfer that produces an alkylidene complex. The analysis shows that thermal stabilities of complexes of the type MCp2(CH3)2 increase down group 4 (M = Ti, Zr and Hf) due to an increase in the HOMO-LUMO band gap of the reactants, which itself increases with the electrophilicity of the metal. The reverse reaction of α-hydrogen abstraction in ZrCp2Me2 is 1,2-addition reaction of a C-H bond to a Zr=C bond. The same mechanism is investigated to determine if it operates for 1,2 addition of the tBu C-H across Hf=N in a corresponding Hf dimer complex. The aim of this work is to understand orbital interactions, how bonds break and how new bonds form, and in what state hydrogen is transferred during the reaction. Calculations reveal two synchronous and concerted electron transfers within a four-membered cyclic transition state in the plane between the cyclopentadienyl rings, one π(M=X)-to-σ(M-C) involving metal d orbitals and the other σ(C-H)-to-σ(X-H) mediating the transfer of neutral H, where X = C or N. The reaction of the hafnium dimer complex with CO that was studied for the purpose of understanding C-H bond activation has another interesting application, namely the cleavage of an N-N bond and resulting N-C bond formation. Analysis of the orbital plots reveals repulsion between the occupied orbitals on CO and the N-N unit where CO approaches along the N-N axis. The repulsions along the N-N axis are minimized by instead forming an asymmetrical intermediate in which CO first coordinates to one Hf and then to N. This breaks the symmetry of the N-N unit and the resultant mixing of MOs allows σ(NN) to be polarized, localizing electrons on the more distant N. This allowed σ(CO) and π(CO) donation to N and back-donation of π*(Hf2N2) to CO. Improved understanding of the chemistry of metal complexes can be gained from atomic-scale modelling and this provides valuable information for the design of new ALD precursors. The information gained from the model decomposition pathway can be additionally used to understand the chemistry of molecules in the ALD process as well as in catalytic systems.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Atomic layer deposition (ALD) is now used in semiconductor fabrication lines to deposit nanometre-thin oxide films, and has thus enabled the introduction of high-permittivity dielectrics into the CMOS gate stack. With interest increasing in transistors based on high mobility substrates, such as GaAs, we are investigating the surface treatments that may improve the interface characteristics. We focus on incubation periods of ALD processes on III-V substrates. We have applied first principles Density Functional Theory (DFT) to investigate detailed chemistry of these early stages of growth, specifically substrate and ALD precursor interaction. We have modelled the ‘clean-up’ effect by which organometallic precursors: trimethylaluminium (TMA) or hafnium and titanium amides clean arsenic oxides off the GaAs surface before ALD growth of dielectric commences and similar effect on Si3N4 substrate. Our simulations show that ‘clean-up’ of an oxide film strongly depends on precursor ligand, its affinity to the oxide and the redox character of the oxide. The predominant pathway for a metalloid oxide such as arsenic oxide is reduction, producing volatile molecules or gettering oxygen from less reducible oxides. An alternative pathway is non-redox ligand exchange, which allows non-reducible oxides (e.g. SiO2) to be cleaned-up. First principles study shows also that alkylamides are more susceptible to decomposition rather than migration on the oxide surface. This improved understanding of the chemical principles underlying ‘clean-up’ allows us to rationalize and predict which precursors will perform the reaction. The comparison is made between selection of metal chlorides, methyls and alkylamides precursors.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

High-permittivity ("high-k") dielectric materials are used in the transistor gate stack in integrated circuits. As the thickness of silicon oxide dielectric reduces below 2 nm with continued downscaling, the leakage current because of tunnelling increases, leading to high power consumption and reduced device reliability. Hence, research concentrates on finding materials with high dielectric constant that can be easily integrated into a manufacturing process and show the desired properties as a thin film. Atomic layer deposition (ALD) is used practically to deposit high-k materials like HfO2, ZrO2, and Al2O3 as gate oxides. ALD is a technique for producing conformal layers of material with nanometer-scale thickness, used commercially in non-planar electronics and increasingly in other areas of science and technology. ALD is a type of chemical vapor deposition that depends on self-limiting surface chemistry. In ALD, gaseous precursors are allowed individually into the reactor chamber in alternating pulses. Between each pulse, inert gas is admitted to prevent gas phase reactions. This thesis provides a profound understanding of the ALD of oxides such as HfO2, showing how the chemistry affects the properties of the deposited film. Using multi-scale modelling of ALD, the kinetics of reactions at the growing surface is connected to experimental data. In this thesis, we use density functional theory (DFT) method to simulate more realistic models for the growth of HfO2 from Hf(N(CH3)2)4/H2O and HfCl4/H2O and for Al2O3 from Al(CH3)3/H2O.Three major breakthroughs are discovered. First, a new reaction pathway, ’multiple proton diffusion’, is proposed for the growth of HfO2 from Hf(N(CH3)2)4/H2O.1 As a second major breakthrough, a ’cooperative’ action between adsorbed precursors is shown to play an important role in ALD. By this we mean that previously-inert fragments can become reactive once sufficient molecules adsorb in their neighbourhood during either precursor pulse. As a third breakthrough, the ALD of HfO2 from Hf(N(CH3)2)4 and H2O is implemented for the first time into 3D on-lattice kinetic Monte-Carlo (KMC).2 In this integrated approach (DFT+KMC), retaining the accuracy of the atomistic model in the higher-scale model leads to remarkable breakthroughs in our understanding. The resulting atomistic model allows direct comparison with experimental techniques such as X-ray photoelectron spectroscopy and quartz crystal microbalance.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

This thesis describes modelling, synthesis, spectroscopic and physical characterisation, as well as application of Magnesium, Calcium and Copper β-diketonate, β-ketoiminate, β-diiminate, Schiff base, amide and fluorenyl compounds. The selected compounds could potentially find application in materials deposition using Atomic Layer Deposition (ALD), MOCVD, CVD and Sol-Gel techniques. Quantum chemical modelling was used as a tool to perform the comprehensive and rapid study of magnesium and calcium precursor molecules in order to predict which of them would be more successful in ALD of metal oxides. Precursor chemistry plays a key role in ALD, since precursors must be volatile, thermally stable, chemisorb on the surface and react rapidly with existing surface groups. This Thesis describes one aspect of this, surface reactivity between ligands and hydroxyl groups, via a gas-phase model with energetics computed at the level of Density Functional Theory (DFT). A number of different synthetic strategies, both aerobic and anaerobic, were investigated for the synthesis of the described metal complexes. These included the use of different metal starting reagents such as, anhydrous and hydrated inorganic metal salts, metal alkyls and Grignard reagents. Some of previously unreported metal complexes of homoleptic and heteroleptic magnesium, calcium and copper β-diketonates, β-ketoiminates, β-diiminates, amides and Schiff base type were synthesised and characterised: [Mg(hfpd)2(DipPa)], [Mg(hfpd)2(MapH)2], [Mg(hf-ebp)(THF)2], [Mg(tf-Pap)Cl(THF)2], [Ca(PhNacnac)2], [Cu(tf-Pap)2], [Cu(PhNacnac)2], [Cu(hf-ebp)], [Cu(DipPa)] and [Cu(DipPa)2(4,4’-bypy)]. A comprehensive study on the thermal properties of magnesium, calcium and copper β-diketonates, β-ketoiminates, β-diiminates, Schiff base, amide and fluorenyl complexes was performed using TGA and sublimation of selected compounds. Atomic Layer Deposition of MgO using magnesium β-ketoiminate – [bis{(4-N-phenyl)-2-pentonato} magnesium] and β-diketonate - [bis(1,1,1,5,5,5-hexafluoropentane-2,4-dionato)(THF)magnesium hydrate] was performed on Si(100) substrates at 180°C and 0.2 Torr using O2 plasma.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

The wonder of the last century has been the rapid development in technology. One of the sectors that it has touched immensely is the electronic industry. There has been exponential development in the field and scientists are pushing new horizons. There is an increased dependence in technology for every individual from different strata in the society. Atomic Layer Deposition (ALD) is a unique technique for growing thin films. It is widely used in the semiconductor industry. Films as thin as few nanometers can be deposited using this technique. Although this process has been explored for a variety of oxides, sulphides and nitrides, a proper method for deposition of many metals is missing. Metals are often used in the semiconductor industry and hence are of significant importance. A deficiency in understanding the basic chemistry at the nanoscale for possible reactions has delayed the improvement in metal ALD. In this thesis, we study the intrinsic chemistry involved for Cu ALD. This work reports computational study using Density Functional Theory as implemented in TURBOMOLE program. Both the gas phase and surface reactions are studied in most of the cases. The merits and demerits of a promising transmetallation reaction have been evaluated at the beginning of the study. Further improvements in the structure of precursors and coreagent have been proposed. This has led to the proposal of metallocenes as co-reagents and Cu(I) carbene compounds as new set of precursors. A three step process for Cu ALD that generates ligand free Cu layer after every ALD pulse has also been studied. Although the chemistry has been studied under the umbrella of Cu ALD the basic principles hold true for ALD of other metals (e.g. Co, Ni, Fe ) and also for other branches of science like thin film deposition other than ALD, electrochemical reactions, etc.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Atomic layer deposition (ALD) is a technique for producing conformal layers of nanometre-scale thickness, used commercially in non-planar electronics and increasingly in other high-tech industries. ALD depends on self-limiting surface chemistry but the mechanistic reasons for this are not understood in detail. Here we demonstrate, by first-principle calculations of growth of HfO2 from Hf(N(CH3)2)4–H2O and HfCl4–H2O and growth of Al2O3 from Al(CH3)3–H2O, that, for all these precursors, co-adsorption plays an important role in ALD. By this we mean that previously-inert adsorbed fragments can become reactive once sufficient numbers of molecules adsorb in their neighbourhood during either precursor pulse. Through the calculated activation energies, this ‘cooperative’ mechanism is shown to have a profound influence on proton transfer and ligand desorption, which are crucial steps in the ALD cycle. Depletion of reactive species and increasing coordination cause these reactions to self-limit during one precursor pulse, but to be re-activated via the cooperative effect in the next pulse. This explains the self-limiting nature of ALD.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Copper dimethylamino-2-propoxide [Cu(dmap)2] is used as a precursor for low-temperature atomic layer deposition (ALD) of copper thin films. Chemisorption of the precursor is the necessary first step of ALD, but it is not known in this case whether there is selectivity for adsorption sites, defects, or islands on the substrate. Therefore, we study the adsorption of the Cu(dmap)2 molecule on the different sites on flat and rough Cu surfaces using PBE, PBE-D3, optB88-vdW, and vdW-DF2 methods. We found the relative order of adsorption energies for Cu(dmap)2 on Cu surfaces is Eads (PBE-D3) > Eads (optB88-vdW) > Eads (vdW-DF2) > Eads (PBE). The PBE and vdW-DF2 methods predict one chemisorption structure, while optB88-vdW predicts three chemisorption structures for Cu(dmap)2 adsorption among four possible adsorption configurations, whereas PBE-D3 predicts a chemisorbed structure for all the adsorption sites on Cu(111). All the methods with and without van der Waals corrections yield a chemisorbed molecule on the Cu(332) step and Cu(643) kink because of less steric hindrance on the vicinal surfaces. Strong distortion of the molecule and significant elongation of Cu–N bonds are predicted in the chemisorbed structures, indicating that the ligand–Cu bonds break during the ALD of Cu from Cu(dmap)2. The molecule loses its initial square-planar structure and gains linear O–Cu–O bonding as these atoms attach to the surface. As a result, the ligands become unstable and the precursor becomes more reactive to the coreagent. Charge redistribution mainly occurs between the adsorbate O–Cu–O bond and the surface. Bader charge analysis shows that electrons are donated from the surface to the molecule in the chemisorbed structures, so that the Cu center in the molecule is partially reduced.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Using quantum chemical calculations, we investigate surface reactions of copper precursors and diethylzinc as the reducing agent for effective Atomic Layer Deposition (ALD) of Cu. The adsorption of various commonly used Cu(II) precursors is explored. The precursors vary in the electronegativity and conjugation of the ligands and flexibility of the whole molecule. Our study shows that the overall stereochemistry of the precursor governs the adsorption onto its surface. Formation of different Cu(II)/Cu(I)/Cu(0) intermediate complexes from the respective Cu(II) compounds on the surface is also explored. The surface model is a (111) facet of a Cu55 cluster. Cu(I) compounds are found to cover the surface after the precursor pulse, irrespective of the precursor chosen. We provide new information about the surface chemistry of Cu(II) versus Cu(I) compounds. A pair of CuEt intermediates or the dimer Cu2Et2 reacts in order to deposit a new Cu atom and release gaseous butane. In this reaction, two electrons from the Et anions are donated to copper for reduction to metallic form. This indicates that a ligand exchange between the Cu and Zn is important for the success of this transmetalation reaction. The effect of the ligands in the precursor on the electron density before and after adsorption onto the surface has also been computed through population analysis. In the Cu(I) intermediate, charge is delocalized between the Cu precursor and the bare copper surface, indicating metallic bonding as the precursor densifies to the surface.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Treatment of Zn(Si(SiMe3)3)2 with ZnX2 (X = Cl, Br, I) in tetrahydrofuran (THF) at 23 °C afforded [Zn(Si(SiMe3)3)X(THF)]2 in 83–99% yield. X-ray crystal structures revealed dimeric structures with Zn2X2 cores. Thermogravimetric analyses of [Zn(Si(SiMe3)3)X(THF)]2 demonstrated a loss of coordinated THF between 50 and 155 °C and then single-step weight losses between 200 and 275 °C. The nonvolatile residue was zinc metal in all cases. Bulk thermolyses of [Zn(Si(SiMe3)3)X(THF)]2 between 210 and 250 °C afforded zinc metal in 97–99% yield, Si(SiMe3)3X in 91–94% yield, and THF in 81–98% yield. Density functional theory calculations confirmed that zinc formation becomes energetically favorable upon THF loss. Similar reactions are likely to be general for M(SiR3)n/MXn pairs and may lead to new metal-film-growth processes for chemical vapor deposition and atomic layer deposition.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Copper is the main interconnect material in microelectronic devices, and a 2 nm-thick continuous Cu film seed layer needs to be deposited to produce microelectronic devices with the smallest features and more functionality. Atomic layer deposition (ALD) is the most suitable method to deposit such thin films. However, the reaction mechanism and the surface chemistry of copper ALD remain unclear, which is deterring the development of better precursors and design of new ALD processes. In this thesis, we study the surface chemistries during ALD of copper by means of density functional theory (DFT). To understand the effect of temperature and pressure on the composition of copper with substrates, we used ab initio atomistic thermodynamics to obtain phase diagram of the Cu(111)/SiO2(0001) interface. We found that the interfacial oxide Cu2O phases prefer high oxygen pressure and low temperature while the silicide phases are stable at low oxygen pressure and high temperature for Cu/SiO2 interface, which is in good agreement with experimental observations. Understanding the precursor adsorption on surfaces is important for understanding the surface chemistry and reaction mechanism of the Cu ALD process. Focusing on two common Cu ALD precursors, Cu(dmap)2 and Cu(acac)2, we studied the precursor adsorption on Cu surfaces by means of van der Waals (vdW) inclusive DFT methods. We found that the adsorption energies and adsorption geometries are dependent on the adsorption sites and on the method used to include vdW in the DFT calculation. Both precursor molecules are partially decomposed and the Cu cations are partially reduced in their chemisorbed structure. It is found that clean cleavage of the ligand−metal bond is one of the requirements for selecting precursors for ALD of metals. 2 Bonding between surface and an atom in the ligand which is not coordinated with the Cu may result in impurities in the thin film. To have insight into the reaction mechanism of a full ALD cycle of Cu ALD, we proposed reaction pathways based on activation energies and reaction energies for a range of surface reactions between Cu(dmap)2 and Et2Zn. The butane formation and desorption steps are found to be extremely exothermic, explaining the ALD reaction scheme of original experimental work. Endothermic ligand diffusion and re-ordering steps may result in residual dmap ligands blocking surface sites at the end of the Et2Zn pulse, and in residual Zn being reduced and incorporated as an impurity. This may lead to very slow growth rate, as was the case in the experimental work. By investigating the reduction of CuO to metallic Cu, we elucidated the role of the reducing agent in indirect ALD of Cu. We found that CuO bulk is protected from reduction during vacuum annealing by the CuO surface and that H2 is required in order to reduce that surface, which shows that the strength of reducing agent is important to obtain fully reduced metal thin films during indirect ALD processes. Overall, in this thesis, we studied the surface chemistries and reaction mechanisms of Cu ALD processes and the nucleation of Cu to form a thin film.