57 resultados para HMI


Relevância:

20.00% 20.00%

Publicador:

Resumo:

We study properties of intensity fluctuations in NOAA Active Region 11250 observed on 13 July 2011 starting at UT 13:32. Included are data obtained in the EUV bands of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory (SDO/AIA) as well as nearly simultaneous observations of the chromosphere made, at much higher spatial and temporal resolution, with the Rapid Oscillations in the Solar Atmosphere (ROSA) and Hydrogen-Alpha Rapid Dynamics camera (HARDcam) systems at the Dunn Solar Telescope. A complex structure seen in both the ROSA/HARDcam and SDO data sets comprises a system of loops extending outward from near the boundary of the leading sunspot umbra. It is visible in the ROSA Ca II K and HARDcam Hα images, as well as the SDO 304 Å, 171 Å and 193 Å channels, and it thus couples the chromosphere, transition region and corona. In the ground-based images the loop structure is 4.1 Mm long. Some 17.5 Mm, can be traced in the SDO/AIA data. The chromospheric emissions observed by ROSA and HARDcam appear to occupy the inner, and apparently cooler and lower, quarter of the loop. We compare the intensity fluctuations of two points within the structure. From alignment with SDO/HMI images we identify a point "A" near the loop structure, which sits directly above a bipolar magnetic feature in the photosphere. Point "B" is characteristic of locations within the loops that are visible in both the ROSA/HARDcam and the SDO/AIA data. The intensity traces for point A are quiet during the first part of the data string. At time ~ 19 min they suddenly begin a series of impulsive brightenings. In the 171 Å and 193 Å coronal lines the brightenings are localized impulses in time, but in the transition region line at 304 Å they are more extended in time. The intensity traces in the 304 Å line for point B shows a quasi-periodic signal that changes properties at about 19 min. The wavelet power spectra are characterized by two periodicities. A 6.7 min period extends from the beginning of the series until about 25 minutes, and another signal with period ~3 min starts at about 20 min. The 193 Å power spectrum has a characteristic period of 5 min, before the 20 min transition and a 2.5 min periodicity afterward. In the case of HARDcam Hα data a localized 4 min periodicity can be found until about 7 min, followed by a quiet regime. After ~20 min a 2.3 min periodicity appears. Interestingly a coronal loop visible in the 94 Å line that is centrally located in the AR, running from the leading umbra to the following polarity, at about time 20 min undergoes a strong brightening beginning at the same moment all along 15 Mm of its length. The fact that these different signals all experience a clear-cut change at time about 20 min suggests an underlying organizing mechanism. Given that point A has a direct connection to the photospheric magnetic bipole, we conjecture that the whole extended structure is connected in a complex manner to the underlying magnetic field. The periodicities in these features may favor the wave nature rather than upflows and interpretations will be discussed.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Inquiry pedagogies have been an integral mode by which understandings connected to the Humanities have been developed in primary classrooms. For the purposes of this paper, the Humanities incorporates areas of learning associated with Civics and Citizenship, History, Geography and Economics. In primary classrooms, these discipline areas have often been taught in interdisciplinary ways through other iterations such as Social Studies and Studies of Society and Environment. This paper is a reflection on the work with pre-service teachers in a quest to disrupt more traditional and transmissive pedagogies for teaching and learning in this area. It proposes a new way of conceptualising inquiry for rigorous and disciplinary learning.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Molar-Incisor Hypomineralization (MIH) is a qualitative developmental enamel defect that affects one to four permanent first molars, with or without involvement of permanent incisors. Enamel defects may produce many symptoms that have physical, social and psychological effects and influence day-to-day living or quality of life. The available treatment modalities for teeth with MIH are extensive, ranging from prevention, restoration, to extraction. Factors such as age, patient expectations, severity of the lesion and materials should be considered in the treatment of the patient with MIH. Restoration with composite resin is an alternative choice for posterior and anterior MIH defective teeth and its use has been show acceptable results. This article describes two clinical cases involving pediatric patients with MIH whose procedure of cavity preparation was based on the use of CVD ultrasound diamond tips and restored using composite resin obtaining favorable esthetics results after a 1 year follow-up.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

This study aimed to evaluate the clinical and microscopic changes of MIH, and compare them to areas of healthy dental enamel. Methodology: epoxy resin replicas of healthy incisors and affected by MIH were evaluated qualitatively by scanning electron microscopy (SEM) photographs. Results: Clinically it was observed that MIH incisors showed changes in color and surface, with significant structural losses. By SEM, these had irregular surfaces and margins with structural losses. Conclusions: The teeth affected by HMI have clinical and morphological characteristics that are important for the definition of patient's treatment plan.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Obiettivo della tesi è stato quello di analizzare, progettare e realizzare un modello di interfaccia uomo-macchina per macchine automatiche multidosaggio che fosse dinamicamente riconfigurabile alla variazione della configurazione operativa.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Current “Internet of Things” concepts point to a future where connected objects gather meaningful information about their environment and share it with other objects and people. In particular, objects embedding Human Machine Interaction (HMI), such as mobile devices and, increasingly, connected vehicles, home appliances, urban interactive infrastructures, etc., may not only be conceived as sources of sensor information, but, through interaction with their users, they can also produce highly valuable context-aware human-generated observations. We believe that the great promise offered by combining and sharing all of the different sources of information available can be realized through the integration of HMI and Semantic Sensor Web technologies. This paper presents a technological framework that harmonizes two of the most influential HMI and Sensor Web initiatives: the W3C’s Multimodal Architecture and Interfaces (MMI) and the Open Geospatial Consortium (OGC) Sensor Web Enablement (SWE) with its semantic extension, respectively. Although the proposed framework is general enough to be applied in a variety of connected objects integrating HMI, a particular development is presented for a connected car scenario where drivers’ observations about the traffic or their environment are shared across the Semantic Sensor Web. For implementation and evaluation purposes an on-board OSGi (Open Services Gateway Initiative) architecture was built, integrating several available HMI, Sensor Web and Semantic Web technologies. A technical performance test and a conceptual validation of the scenario with potential users are reported, with results suggesting the approach is sound

Relevância:

20.00% 20.00%

Publicador:

Resumo:

This document is a summary of the Bachelor thesis titled “VHDL-Based System Design of a Cognitive Sensorimotor Loop (CSL) for Haptic Human-Machine Interaction (HMI)” written by Pablo de Miguel Morales, Electronics Engineering student at the Universidad Politécnica de Madrid (UPM Madrid, Spain) during an Erasmus+ Exchange Program at the Beuth Hochschule für Technik (BHT Berlin, Germany). The tutor of this project is Dr. Prof. Hild. This project has been developed inside the Neurobotics Research Laboratory (NRL) in close collaboration with Benjamin Panreck, a member of the NRL, and another exchange student from the UPM Pablo Gabriel Lezcano. For a deeper comprehension of the content of the thesis, a deeper look in the document is needed as well as the viewing of the videos and the VHDL design. In the growing field of automation, a large amount of workforce is dedicated to improve, adapt and design motor controllers for a wide variety of applications. In the specific field of robotics or other machinery designed to interact with humans or their environment, new needs and technological solutions are often being discovered due to the existing, relatively unexplored new scenario it is. The project consisted of three main parts: Two VHDL-based systems and one short experiment on the haptic perception. Both VHDL systems are based on a Cognitive Sensorimotor Loop (CSL) which is a control loop designed by the NRL and mainly developed by Dr. Prof. Hild. The CSL is a control loop whose main characteristic is the fact that it does not use any external sensor to measure the speed or position of the motor but the motor itself. The motor always generates a voltage that is proportional to its angular speed so it does not need calibration. This method is energy efficient and simplifies control loops in complex systems. The first system, named CSL Stay In Touch (SIT), consists in a one DC motor system controller by a FPGA Board (Zynq ZYBO 7000) whose aim is to keep contact with any external object that touches its Sensing Platform in both directions. Apart from the main behavior, three features (Search Mode, Inertia Mode and Return Mode) have been designed to enhance the haptic interaction experience. Additionally, a VGA-Screen is also controlled by the FPGA Board for the monitoring of the whole system. This system has been completely developed, tested and improved; analyzing its timing and consumption properties. The second system, named CSL Fingerlike Mechanism (FM), consists in a fingerlike mechanical system controlled by two DC motors (Each controlling one part of the finger). The behavior is similar to the first system but in a more complex structure. This system was optional and not part of the original objectives of the thesis and it could not be properly finished and tested due to the lack of time. The haptic perception experiment was an experiment conducted to have an insight into the complexity of human haptic perception in order to implement this knowledge into technological applications. The experiment consisted in testing the capability of the subjects to recognize different objects and shapes while being blindfolded and with their ears covered. Two groups were done, one had full haptic perception while the other had to explore the environment with a plastic piece attached to their finger to create a haptic handicap. The conclusion of the thesis was that a haptic system based only on a CSL-based system is not enough to retrieve valuable information from the environment and that other sensors are needed (temperature, pressure, etc.) but that a CSL-based system is very useful to control the force applied by the system to interact with haptic sensible surfaces such as skin or tactile screens. RESUMEN. Este documento es un resumen del proyecto fin de grado titulado “VHDL-Based System Design of a Cognitive Sensorimotor Loop (CSL) for Haptic Human-Machine Interaction (HMI)” escrito por Pablo de Miguel, estudiante de Ingeniería Electrónica de Comunicaciones en la Universidad Politécnica de Madrid (UPM Madrid, España) durante un programa de intercambio Erasmus+ en la Beuth Hochschule für Technik (BHT Berlin, Alemania). El tutor de este proyecto ha sido Dr. Prof. Hild. Este proyecto se ha desarrollado dentro del Neurorobotics Research Laboratory (NRL) en estrecha colaboración con Benjamin Panreck (un miembro del NRL) y con Pablo Lezcano (Otro estudiante de intercambio de la UPM). Para una comprensión completa del trabajo es necesaria una lectura detenida de todo el documento y el visionado de los videos y análisis del diseño VHDL incluidos en el CD adjunto. En el creciente sector de la automatización, una gran cantidad de esfuerzo está dedicada a mejorar, adaptar y diseñar controladores de motor para un gran rango de aplicaciones. En el campo específico de la robótica u otra maquinaria diseñada para interactuar con los humanos o con su entorno, nuevas necesidades y soluciones tecnológicas se siguen desarrollado debido al relativamente inexplorado y nuevo escenario que supone. El proyecto consta de tres partes principales: Dos sistemas basados en VHDL y un pequeño experimento sobre la percepción háptica. Ambos sistemas VHDL están basados en el Cognitive Sesnorimotor Loop (CSL) que es un lazo de control creado por el NRL y cuyo desarrollador principal ha sido Dr. Prof. Hild. El CSL es un lazo de control cuya principal característica es la ausencia de sensores externos para medir la velocidad o la posición del motor, usando el propio motor como sensor. El motor siempre genera un voltaje proporcional a su velocidad angular de modo que no es necesaria calibración. Este método es eficiente en términos energéticos y simplifica los lazos de control en sistemas complejos. El primer sistema, llamado CSL Stay In Touch (SIT), consiste en un sistema formado por un motor DC controlado por una FPGA Board (Zynq ZYBO 7000) cuyo objetivo es mantener contacto con cualquier objeto externo que toque su plataforma sensible en ambas direcciones. Aparte del funcionamiento básico, tres modos (Search Mode, Inertia Mode y Return Mode) han sido diseñados para mejorar la interacción. Adicionalmente, se ha diseñado el control a través de la FPGA Board de una pantalla VGA para la monitorización de todo el sistema. El sistema ha sido totalmente desarrollado, testeado y mejorado; analizando su propiedades de timing y consumo energético. El segundo sistema, llamado CSL Fingerlike Mechanism (FM), consiste en un mecanismo similar a un dedo controlado por dos motores DC (Cada uno controlando una falange). Su comportamiento es similar al del primer sistema pero con una estructura más compleja. Este sistema no formaba parte de los objetivos iniciales del proyecto y por lo tanto era opcional. No pudo ser plenamente desarrollado debido a la falta de tiempo. El experimento de percepción háptica fue diseñado para profundizar en la percepción háptica humana con el objetivo de aplicar este conocimiento en aplicaciones tecnológicas. El experimento consistía en testear la capacidad de los sujetos para reconocer diferentes objetos, formas y texturas en condiciones de privación del sentido del oído y la vista. Se crearon dos grupos, en uno los sujetos tenían plena percepción háptica mientras que en el otro debían interactuar con los objetos a través de una pieza de plástico para generar un hándicap háptico. La conclusión del proyecto fue que un sistema háptico basado solo en sistemas CSL no es suficiente para recopilar información valiosa del entorno y que debe hacer uso de otros sensores (temperatura, presión, etc.). En cambio, un sistema basado en CSL es idóneo para el control de la fuerza aplicada por el sistema durante la interacción con superficies hápticas sensibles tales como la piel o pantallas táctiles.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Se exponen un balance de los retos actuales y futuros para gestionar de forma eficiente la distracción visual en conductores ante la avalancha tecnológica de pantallas de navegación, ya sea por su tecnología, como por el diseño e interacción con la información visual, e incluso con otros sentidos (táctil, etc.).

Relevância:

20.00% 20.00%

Publicador:

Resumo:

In recent years, higher cadence, higher resolution observations have revealed the quiet-Sun photosphere to be complex and rapidly evolving. Since magnetic fields anchored in the photosphere extend up into the solar corona, it is expected that the small-scale coronal magnetic field exhibits similar complexity. For the first time, the quiet-Sun coronal magnetic field is continuously evolved through a series of non-potential, quasi-static equilibria, deduced from magnetograms observed by the Helioseismic and Magnetic Imager on board the Solar Dynamics Observatory, where the photospheric boundary condition which drives the coronal evolution exactly reproduces the observed magnetograms. The build-up, storage, and dissipation of magnetic energy within the simulations is studied. We find that the free magnetic energy built up and stored within the field is sufficient to explain small-scale, impulsive events such as nanoflares. On comparing with coronal images of the same region, the energy storage and dissipation visually reproduces many of the observed features. The results indicate that the complex small-scale magnetic evolution of a large number of magnetic features is a key element in explaining the nature of the solar corona.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Trabajo realizado en la empresa CAF Power&Automation

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Older drivers represent the fastest growing segment of the road user population. Cognitive and physiological capabilities diminishes with ages. The design of future in-vehicle interfaces have to take into account older drivers' needs and capabilities. Older drivers have different capabilities which impact on their driving patterns and subsequently on road crash patterns. New in-vehicle technology could improve safety, comfort and maintain elderly people's mobility for longer. Existing research has focused on the ergonomic and Human Machine Interface (HMI) aspects of in-vehicle technology to assist the elderly. However there is a lack of comprehensive research on identifying the most relevant technology and associated functionalities that could improve older drivers' road safety. To identify future research priorities for older drivers, this paper presents: (i) a review of age related functional impairments, (ii) a brief description of some key characteristics of older driver crashes and (iii) a conceptualisation of the most relevant technology interventions based on traffic psychology theory and crash data.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Visual activity detection of lip movements can be used to overcome the poor performance of voice activity detection based solely in the audio domain, particularly in noisy acoustic conditions. However, most of the research conducted in visual voice activity detection (VVAD) has neglected addressing variabilities in the visual domain such as viewpoint variation. In this paper we investigate the effectiveness of the visual information from the speaker’s frontal and profile views (i.e left and right side views) for the task of VVAD. As far as we are aware, our work constitutes the first real attempt to study this problem. We describe our visual front end approach and the Gaussian mixture model (GMM) based VVAD framework, and report the experimental results using the freely available CUAVE database. The experimental results show that VVAD is indeed possible from profile views and we give a quantitative comparison of VVAD based on frontal and profile views The results presented are useful in the development of multi-modal Human Machine Interaction (HMI) using a single camera, where the speaker’s face may not always be frontal.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

This workshop provides an ergonomic framework and design rules for the design of automotive controls, considering anthropometric design, physiologic design, biomechanic design and information design.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

The social cost of road injury and fatalities is still unacceptable. The driver is often mainly responsible for road crashes, therefore changing the driver behaviour is one of the most important and most challenging priority in road transport. This paper presents three innovative visions that articulate the potential of using Vehicle to Vehicle (V2V) communication for supporting the exchange of social information amongst drivers. We argue that there could be tremendous benefits in socialising cars to influence human driving behaviours for the better and that this aspect is still relevant in the age of looming autonomous cars. Our visions provide theoretical grounding how V2V infrastructure and emerging human–machine interfaces (HMI) could persuade drivers to: (i) adopt better (e.g. greener) driving practices, (ii) reduce drivers aggressiveness towards pro-social driving behaviours, and (iii) reduce risk-taking behaviour in young, particularly male, adults. The visions present simple but powerful concepts that reveal ‘good’ aspects of the driver behaviour to other drivers and make them contagious. The use of self-efficacy, social norms, gamification theories and social cues could then increase the likelihood of a widespread adoption of such ‘good’ driving behaviours.