904 resultados para OECT, transistor organici, PEDOT, bioelettronica


Relevância:

10.00% 10.00%

Publicador:

Resumo:

Oggi, i dispositivi portatili sono diventati la forza trainante del mercato consumer e nuove sfide stanno emergendo per aumentarne le prestazioni, pur mantenendo un ragionevole tempo di vita della batteria. Il dominio digitale è la miglior soluzione per realizzare funzioni di elaborazione del segnale, grazie alla scalabilità della tecnologia CMOS, che spinge verso l'integrazione a livello sub-micrometrico. Infatti, la riduzione della tensione di alimentazione introduce limitazioni severe per raggiungere un range dinamico accettabile nel dominio analogico. Minori costi, minore consumo di potenza, maggiore resa e una maggiore riconfigurabilità sono i principali vantaggi dell'elaborazione dei segnali nel dominio digitale. Da più di un decennio, diverse funzioni puramente analogiche sono state spostate nel dominio digitale. Ciò significa che i convertitori analogico-digitali (ADC) stanno diventando i componenti chiave in molti sistemi elettronici. Essi sono, infatti, il ponte tra il mondo digitale e analogico e, di conseguenza, la loro efficienza e la precisione spesso determinano le prestazioni globali del sistema. I convertitori Sigma-Delta sono il blocco chiave come interfaccia in circuiti a segnale-misto ad elevata risoluzione e basso consumo di potenza. I tools di modellazione e simulazione sono strumenti efficaci ed essenziali nel flusso di progettazione. Sebbene le simulazioni a livello transistor danno risultati più precisi ed accurati, questo metodo è estremamente lungo a causa della natura a sovracampionamento di questo tipo di convertitore. Per questo motivo i modelli comportamentali di alto livello del modulatore sono essenziali per il progettista per realizzare simulazioni veloci che consentono di identificare le specifiche necessarie al convertitore per ottenere le prestazioni richieste. Obiettivo di questa tesi è la modellazione del comportamento del modulatore Sigma-Delta, tenendo conto di diverse non idealità come le dinamiche dell'integratore e il suo rumore termico. Risultati di simulazioni a livello transistor e dati sperimentali dimostrano che il modello proposto è preciso ed accurato rispetto alle simulazioni comportamentali.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

The use of diamond as a semiconductor for the realization of transistor structures, which can operate at high temperatures (>700 K), is of increasing interest. In terms of bipolar devices, the growth of n-type phosphorus doped diamond is more efficient on the (111) growth plane; p-type boron-doped diamond growth has been most usually grown in the (100) direction and, hence, this study into the electronic properties, at high temperatures, of boron-doped diamond (111) homoepitaxial layers. It is shown that highly doped layers (hole carrier concentrations as high as 2×1020 cm-3) can be produced without promoting the onset of (unwanted) hopping conduction. The persistence of valance-band conduction in these films enables relatively high mobility values to be measured ( ~ 20 cm2/V?s) and, intriguingly, these values are not significantly reduced at high temperatures. The layers also display very low compensation levels, a fact that may explain the high mobility values since compensation is required for hopping conduction. The results are discussed in terms of the potential of these types of layers for use with high temperature compatible diamond transistors.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

A high-dielectric constant (high-k) TiOx thin layer was fabricated on hydrogen-terminated diamond (H-diamond) surface by low temperature oxidation of a thin titanium layer in ambient air. The metallic titanium layer was deposited by sputter deposition. The dielectric constant of the resultant TiOx was calculated to be around 12. The capacitance density of the metal-oxide-semiconductor (MOS) based on the TiOx/H-diamond was as high as 0.75 µF/cm2 contributed from the high-k value and the very thin thickness of the TiOx layer. The leakage current was lower than 10-13 A at reverse biases and 10-7A at the forward bias of -2 V. The MOS field-effect transistor based on the high-k TiOx/H-diamond was demonstrated. The utilization of the high-k TiOx with a very thin thickness brought forward the features of an ideally low subthreshold swing slope of 65 mV per decade and improved drain current at low gate voltages. The advantages of the utilization high-k dielectric for diamond MOSFETs are anticipated.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Insulated-gate bipolar transistor (IGBT) power modules find widespread use in numerous power conversion applications where their reliability is of significant concern. Standard IGBT modules are fabricated for general-purpose applications while little has been designed for bespoke applications. However, conventional design of IGBTs can be improved by the multiobjective optimization technique. This paper proposes a novel design method to consider die-attachment solder failures induced by short power cycling and baseplate solder fatigue induced by the thermal cycling which are among major failure mechanisms of IGBTs. Thermal resistance is calculated analytically and the plastic work design is obtained with a high-fidelity finite-element model, which has been validated experimentally. The objective of minimizing the plastic work and constrain functions is formulated by the surrogate model. The nondominated sorting genetic algorithm-II is used to search for the Pareto-optimal solutions and the best design. The result of this combination generates an effective approach to optimize the physical structure of power electronic modules, taking account of historical environmental and operational conditions in the field.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Insulated gate bipolar transistor (IGBT) modules are important safety critical components in electrical power systems. Bond wire lift-off, a plastic deformation between wire bond and adjacent layers of a device caused by repeated power/thermal cycles, is the most common failure mechanism in IGBT modules. For the early detection and characterization of such failures, it is important to constantly detect or monitor the health state of IGBT modules, and the state of bond wires in particular. This paper introduces eddy current pulsed thermography (ECPT), a nondestructive evaluation technique, for the state detection and characterization of bond wire lift-off in IGBT modules. After the introduction of the experimental ECPT system, numerical simulation work is reported. The presented simulations are based on the 3-D electromagnetic-thermal coupling finite-element method and analyze transient temperature distribution within the bond wires. This paper illustrates the thermal patterns of bond wires using inductive heating with different wire statuses (lifted-off or well bonded) under two excitation conditions: nonuniform and uniform magnetic field excitations. Experimental results show that uniform excitation of healthy bonding wires, using a Helmholtz coil, provides the same eddy currents on each, while different eddy currents are seen on faulty wires. Both experimental and numerical results show that ECPT can be used for the detection and characterization of bond wires in power semiconductors through the analysis of the transient heating patterns of the wires. The main impact of this paper is that it is the first time electromagnetic induction thermography, so-called ECPT, has been employed on power/electronic devices. Because of its capability of contactless inspection of multiple wires in a single pass, and as such it opens a wide field of investigation in power/electronic devices for failure detection, performance characterization, and health monitoring.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

This paper presents a diagnostic and prognostic condition monitoring method for insulated-gate bipolar transistor (IGBT) power modules for use primarily in electric vehicle applications. The wire-bond-related failure, one of the most commonly observed packaging failures, is investigated by analytical and experimental methods using the on-state voltage drop as a failure indicator. A sophisticated test bench is developed to generate and apply the required current/power pulses to the device under test. The proposed method is capable of detecting small changes in the failure indicators of the IGBTs and freewheeling diodes and its effectiveness is validated experimentally. The novelty of the work lies in the accurate online testing capacity for diagnostics and prognostics of the power module with a focus on the wire bonding faults, by injecting external currents into the power unit during the idle time. Test results show that the IGBT may sustain a loss of half the bond wires before the impending fault becomes catastrophic. The measurement circuitry can be embedded in the IGBT drive circuits and the measurements can be performed in situ when the electric vehicle stops in stop-and-go, red light traffic conditions, or during routine servicing.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Organic Solar Cells (OSCs) represent a photovoltaic technology with multiple interesting application properties. However, the establishment of this technology into the market is subject to the achievement of operational lifetimes appropriate to their application purposes. Thus, comprehensive understanding of the degradation mechanisms occurring in OSCs is mandatory in both selecting more intrinsically stable components and/or device architectures and implementing strategies that mitigate the encountered stability issues. Inverted devices can suffer from mechanical stress and delamination at the interface between the active layer, e.g. poly(3-hexylthiophene):[6,6]-phenyl-C61-butyric acid methyl ester (P3HT:PCBM), and the hole transport layer, e.g. poly(3,4-ethylenedioxythiophene):poly(p-styrene sulfonate) (PEDOT:PSS). This work proposes the incorporation of a thin adhesive interlayer, consisting of a diblock copolymer composed of a P3HT block and a thermally-triggerable, alkyl-protected PSS block. In this context, the synthesis of poly(neopentyl p-styrene sulfonate) (PNSS) with controlled molar mass and low dispersity (Ð ≤ 1.50) via Reversible Addition-Fragmentation chain Transfer (RAFT) polymerisation has been extensively studied. Subsequently, Atomic Force Microscopy (AFM) was explored to characterise the thermal deprotection of P3HT-b-PNSS thin layers to yield amphiphilic P3HT-b-PSS, indicating that surface deprotection prior to thermal treatment could occur. Finally, structural variation of the alkyl protecting group in PSS allowed reducing the thermal treatment duration from 3 hours (P3HT-b-PNSS) to 45 minutes for the poly(isobutyl p-styrene sulfonate) (PiBSS) analogous copolymer. Another critical issue regarding the stability of OSCs is the sunlight-driven chemical degradation of the active layer. In the study herein, the combination of experimental techniques and theoretical calculations has allowed identification of the structural weaknesses of poly[(4,4’- bis(2-ethylhexyl) dithieno [3,2-b:2’,3’-d]silole)-2,6-diyl-alt-(4,7-bis(2-thienyl)-2,1,3-benzothiadiazole)-5,5’-diyl], Si-PCPDTBT, upon photochemical treatment in air. Additionally, the study of the relative photodegradation rates in air of a series of polymers with systematically modified backbones and/or alkyl side chains has shown no direct correlation between chemical structure and stability. It is proposed instead that photostability is highly dependent on the crystalline character of the deposited films. Furthermore, it was verified that photostability of blends based on these polymers is dictated by the (de)stabilising effect that [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) has over each polymer. Finally, a multiscale analysis on the degradation of solar cells based on poly[4,4' bis(2- ethylhexyl) dithieno[3,2-b:2',3'-d]silole)-2,6-diyl-alt-[2,5 bis(3 tetradecylthiophen 2-yl)thiazole[5,4-d]thiazole)-1,8-diyl] and PCBM, indicated that by judicious selection of device layers, architectures, and encapsulation materials, operational lifetimes up to 3.3 years with no efficiency losses can be successfully achieved.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Over the past few decades, we have been enjoying tremendous benefits thanks to the revolutionary advancement of computing systems, driven mainly by the remarkable semiconductor technology scaling and the increasingly complicated processor architecture. However, the exponentially increased transistor density has directly led to exponentially increased power consumption and dramatically elevated system temperature, which not only adversely impacts the system's cost, performance and reliability, but also increases the leakage and thus the overall power consumption. Today, the power and thermal issues have posed enormous challenges and threaten to slow down the continuous evolvement of computer technology. Effective power/thermal-aware design techniques are urgently demanded, at all design abstraction levels, from the circuit-level, the logic-level, to the architectural-level and the system-level. ^ In this dissertation, we present our research efforts to employ real-time scheduling techniques to solve the resource-constrained power/thermal-aware, design-optimization problems. In our research, we developed a set of simple yet accurate system-level models to capture the processor's thermal dynamic as well as the interdependency of leakage power consumption, temperature, and supply voltage. Based on these models, we investigated the fundamental principles in power/thermal-aware scheduling, and developed real-time scheduling techniques targeting at a variety of design objectives, including peak temperature minimization, overall energy reduction, and performance maximization. ^ The novelty of this work is that we integrate the cutting-edge research on power and thermal at the circuit and architectural-level into a set of accurate yet simplified system-level models, and are able to conduct system-level analysis and design based on these models. The theoretical study in this work serves as a solid foundation for the guidance of the power/thermal-aware scheduling algorithms development in practical computing systems.^

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Fueled by increasing human appetite for high computing performance, semiconductor technology has now marched into the deep sub-micron era. As transistor size keeps shrinking, more and more transistors are integrated into a single chip. This has increased tremendously the power consumption and heat generation of IC chips. The rapidly growing heat dissipation greatly increases the packaging/cooling costs, and adversely affects the performance and reliability of a computing system. In addition, it also reduces the processor's life span and may even crash the entire computing system. Therefore, dynamic thermal management (DTM) is becoming a critical problem in modern computer system design. Extensive theoretical research has been conducted to study the DTM problem. However, most of them are based on theoretically idealized assumptions or simplified models. While these models and assumptions help to greatly simplify a complex problem and make it theoretically manageable, practical computer systems and applications must deal with many practical factors and details beyond these models or assumptions. The goal of our research was to develop a test platform that can be used to validate theoretical results on DTM under well-controlled conditions, to identify the limitations of existing theoretical results, and also to develop new and practical DTM techniques. This dissertation details the background and our research efforts in this endeavor. Specifically, in our research, we first developed a customized test platform based on an Intel desktop. We then tested a number of related theoretical works and examined their limitations under the practical hardware environment. With these limitations in mind, we developed a new reactive thermal management algorithm for single-core computing systems to optimize the throughput under a peak temperature constraint. We further extended our research to a multicore platform and developed an effective proactive DTM technique for throughput maximization on multicore processor based on task migration and dynamic voltage frequency scaling technique. The significance of our research lies in the fact that our research complements the current extensive theoretical research in dealing with increasingly critical thermal problems and enabling the continuous evolution of high performance computing systems.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Catering to society's demand for high performance computing, billions of transistors are now integrated on IC chips to deliver unprecedented performances. With increasing transistor density, the power consumption/density is growing exponentially. The increasing power consumption directly translates to the high chip temperature, which not only raises the packaging/cooling costs, but also degrades the performance/reliability and life span of the computing systems. Moreover, high chip temperature also greatly increases the leakage power consumption, which is becoming more and more significant with the continuous scaling of the transistor size. As the semiconductor industry continues to evolve, power and thermal challenges have become the most critical challenges in the design of new generations of computing systems. ^ In this dissertation, we addressed the power/thermal issues from the system-level perspective. Specifically, we sought to employ real-time scheduling methods to optimize the power/thermal efficiency of the real-time computing systems, with leakage/ temperature dependency taken into consideration. In our research, we first explored the fundamental principles on how to employ dynamic voltage scaling (DVS) techniques to reduce the peak operating temperature when running a real-time application on a single core platform. We further proposed a novel real-time scheduling method, “M-Oscillations” to reduce the peak temperature when scheduling a hard real-time periodic task set. We also developed three checking methods to guarantee the feasibility of a periodic real-time schedule under peak temperature constraint. We further extended our research from single core platform to multi-core platform. We investigated the energy estimation problem on the multi-core platforms and developed a light weight and accurate method to calculate the energy consumption for a given voltage schedule on a multi-core platform. Finally, we concluded the dissertation with elaborated discussions of future extensions of our research. ^

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Electronic noise has been investigated in AlxGa1−x N/GaN Modulation-Doped Field Effect Transistors (MODFETs) of submicron dimensions, grown for us by MBE (Molecular Beam Epitaxy) techniques at Virginia Commonwealth University by Dr. H. Morkoç and coworkers. Some 20 devices were grown on a GaN substrate, four of which have leads bonded to source (S), drain (D), and gate (G) pads, respectively. Conduction takes place in the quasi-2D layer of the junction (xy plane) which is perpendicular to the quantum well (z-direction) of average triangular width ∼3 nm. A non-doped intrinsic buffer layer of ∼5 nm separates the Si-doped donors in the AlxGa1−xN layer from the 2D-transistor plane, which affords a very high electron mobility, thus enabling high-speed devices. Since all contacts (S, D, and G) must reach through the AlxGa1−xN layer to connect internally to the 2D plane, parallel conduction through this layer is a feature of all modulation-doped devices. While the shunting effect may account for no more than a few percent of the current IDS, it is responsible for most excess noise, over and above thermal noise of the device. ^ The excess noise has been analyzed as a sum of Lorentzian spectra and 1/f noise. The Lorentzian noise has been ascribed to trapping of the carriers in the AlxGa1−xN layer. A detailed, multitrapping generation-recombination noise theory is presented, which shows that an exponential relationship exists for the time constants obtained from the spectral components as a function of 1/kT. The trap depths have been obtained from Arrhenius plots of log (τT2) vs. 1000/T. Comparison with previous noise results for GaAs devices shows that: (a) many more trapping levels are present in these nitride-based devices; (b) the traps are deeper (farther below the conduction band) than for GaAs. Furthermore, the magnitude of the noise is strongly dependent on the level of depletion of the AlxGa1−xN donor layer, which can be altered by a negative or positive gate bias VGS. ^ Altogether, these frontier nitride-based devices are promising for bluish light optoelectronic devices and lasers; however, the noise, though well understood, indicates that the purity of the constituent layers should be greatly improved for future technological applications. ^

Relevância:

10.00% 10.00%

Publicador:

Resumo:

The primary purpose of this thesis was to present a theoretical large-signal analysis to study the power gain and efficiency of a microwave power amplifier for LS-band communications using software simulation. Power gain, efficiency, reliability, and stability are important characteristics in the power amplifier design process. These characteristics affect advance wireless systems, which require low-cost device amplification without sacrificing system performance. Large-signal modeling and input and output matching components are used for this thesis. Motorola's Electro Thermal LDMOS model is a new transistor model that includes self-heating affects and is capable of small-large signal simulations. It allows for most of the design considerations to be on stability, power gain, bandwidth, and DC requirements. The matching technique allows for the gain to be maximized at a specific target frequency. Calculations and simulations for the microwave power amplifier design were performed using Matlab and Microwave Office respectively. Microwave Office is the simulation software used in this thesis. The study demonstrated that Motorola's Electro Thermal LDMOS transistor in microwave power amplifier design process is a viable solution for common-source amplifier applications in high power base stations. The MET-LDMOS met the stability requirements for the specified frequency range without a stability-improvement model. The power gain of the amplifier circuit was improved through proper microwave matching design using input/output-matching techniques. The gain and efficiency of the amplifier improve approximately 4dB and 7.27% respectively. The gain value is roughly .89 dB higher than the maximum gain specified by the MRF21010 data sheet specifications. This work can lead to efficient modeling and development of high power LDMOS transistor implementations in commercial and industry applications.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

The continuous evolution of integrated circuit technology has allowed integrating thousands of transistors on a single chip. This is due to the miniaturization process, which reduces the diameter of wires and transistors. One drawback of this process is that the circuit becomes more fragile and susceptible to break, making the circuit more susceptible to permanent faults during the manufacturing process as well as during their lifetime. Coarse Grained Reconfigurable Architectures (CGRAs) have been used as an alternative to traditional architectures in an attempt to tolerate such faults due to its intrinsic hardware redundancy and high performance. This work proposes a fault tolerance mechanism in a CGRA in order to increase the architecture fault tolerance even considering a high fault rate. The proposed mechanism was added to the scheduler, which is the mechanism responsible for mapping instructions onto the architecture. The instruction mapping occurs at runtime, translating binary code without the need for recompilation. Furthermore, to allow faster implementation, instruction mapping is performed using a greedy module scheduling algorithm, which consists of a software pipeline technique for loop acceleration. The results show that, even with the proposed mechanism, the time for mapping instructions is still in order of microseconds. This result allows that instruction mapping process remains at runtime. In addition, a study was also carried out mapping scheduler rate. The results demonstrate that even at fault rates over 50% in functional units and interconnection components, the scheduler was able to map instructions onto the architecture in most of the tested applications.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

L’attività di tesi ha previsto la progettazione e realizzazione di sorgenti di plasma di non equilibrio a pressione atmosferica e l’individuazione delle condizioni operative ottimali per l’idrofobizzazione di materiali tessili. La prima parte delle attività di tesi hanno riguardato lo studio e l’approfondimento della letteratura scientifica al fine di individuare le sorgenti e i processi plasma assistiti per l’idrofobizzazione dei materiali. Relativamente alle sorgenti di plasma di non-equilibrio a pressione atmosferica, studi di letteratura riportano che sorgenti di tipo APPJ (Atmospheric Pressure Plasma Jet) consentono di effettuare un trattamento localizzato in un punto, mentre sorgenti DBD (Dielectric Barrier Discharge) risultano idonee a trattamenti di materiali large area. Per quanto riguarda i processi plasma assistiti, sulla base di quanto riportato in letteratura il processo di idrofobizzazione può avvenire principalmente mediante polimerizzazione di gas organici contenenti fluoro, introdotti nella regione di plasma, con la conseguente deposizione di coating fluorurati. Le attività sperimentali condotte durante la tesi hanno avuto l’obbiettivo di valutare la possibilità di rendere idrofobico un filato di fibra tessile naturale mediante l’utilizzo di una sorgente plasma jet operante con miscela di argon e gas organoflorurato. Il filato, messo in moto a diverse velocità, è stato fatto transitare attraverso la piuma di plasma. In particolare, si è passati da una velocità di movimentazione di 1 m/min a una di 10 m/min. I risultati ottenuti hanno evidenziato che maggiore è la velocità di movimentazione del filato attraverso la piuma di plasma, minore è il grado di idrofibizzazione raggiungibile sul filato stesso, in quanto minore è il tempo di esposizione del materiale al plasma. Infine, nell’ultima parte dell’attività di tesi, è stata progettata una sorgente DBD, che caratterizzata da una maggiore area di generazione del plasma rispetto alla sorgente plasma jet, consente di incrementare il tempo di esposizione del filato al plasma a parità di velocità di movimentazione del filato.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Con l’aumento del consumo mondiale di risorse energetiche del pianeta, è diventato sempre più necessario utilizzare sistemi energetici che sfruttino al meglio la fonte di energia che li alimenta. Una delle soluzioni in questo ambito è quella proposta dagli Organic Rankine Cycle (ORC). Questi sistemi recuperano energia termica altrimenti non utilizzabile per le temperature troppo basse e sfruttano sorgenti termiche con ampi range di temperatura. L’elaborato volge all’analisi sperimentale delle prestazioni di un sistema Micro-ORC di piccola taglia, con rendimento termodinamico massimo dichiarato dal costruttore del 10 %. Inizialmente vengono descritti i fluidi organici e i sistemi che ne fanno uso, descrivendo anche esempi bibliografici di banchi prova per interpretare al meglio i risultati ottenuti con quello disponibile, che viene poi descritto, comprendendo i circuiti di asservimento dell’acqua calda e fredda, i punti di misura e il programma di acquisizione dati. Ci si concentra poi sulla descrizione e l’utilizzo dei codici implementati per l’elaborazione dei dati acquisiti. Questi hanno permesso di osservare gli andamenti temporali delle grandezze fondamentali per il sistema e valutarne la ripetibilità del comportamento nel corso di differenti prove. Vengono proposte infine le mappe di funzionamento per l’intero impianto e per i vari sotto-sistemi, offrendone un’interpretazione e inquadrandone i punti di lavoro ottimali. Attraverso la loro osservazione si sono dedotte le condizioni necessarie per avere un funzionamento ritenuto stabile del sistema ed è stato possibile ottimizzare le procedure svolte durante le fasi di test e di acquisizione dati. Sarà oggetto di studi futuri l’ottimizzazione dell’impianto, prolungando i tempi di esercizio a parità di carico elettrico e frequenza imposta alla pompa, con il fine di ottenere delle curve di prestazioni confrontabili con quelle presenti in bibliografia per altri sistemi ORC.