9 resultados para Etching.

em Universitätsbibliothek Kassel, Universität Kassel, Germany


Relevância:

20.00% 20.00%

Publicador:

Resumo:

In now-a-days semiconductor and MEMS technologies the photolithography is the working horse for fabrication of functional devices. The conventional way (so called Top-Down approach) of microstructuring starts with photolithography, followed by patterning the structures using etching, especially dry etching. The requirements for smaller and hence faster devices lead to decrease of the feature size to the range of several nanometers. However, the production of devices in this scale range needs photolithography equipment, which must overcome the diffraction limit. Therefore, new photolithography techniques have been recently developed, but they are rather expensive and restricted to plane surfaces. Recently a new route has been presented - so-called Bottom-Up approach - where from a single atom or a molecule it is possible to obtain functional devices. This creates new field - Nanotechnology - where one speaks about structures with dimensions 1 - 100 nm, and which has the possibility to replace the conventional photolithography concerning its integral part - the self-assembly. However, this technique requires additional and special equipment and therefore is not yet widely applicable. This work presents a general scheme for the fabrication of silicon and silicon dioxide structures with lateral dimensions of less than 100 nm that avoids high-resolution photolithography processes. For the self-aligned formation of extremely small openings in silicon dioxide layers at in depth sharpened surface structures, the angle dependent etching rate distribution of silicon dioxide against plasma etching with a fluorocarbon gas (CHF3) was exploited. Subsequent anisotropic plasma etching of the silicon substrate material through the perforated silicon dioxide masking layer results in high aspect ratio trenches of approximately the same lateral dimensions. The latter can be reduced and precisely adjusted between 0 and 200 nm by thermal oxidation of the silicon structures owing to the volume expansion of silicon during the oxidation. On the basis of this a technology for the fabrication of SNOM calibration standards is presented. Additionally so-formed trenches were used as a template for CVD deposition of diamond resulting in high aspect ratio diamond knife. A lithography-free method for production of periodic and nonperiodic surface structures using the angular dependence of the etching rate is also presented. It combines the self-assembly of masking particles with the conventional plasma etching techniques known from microelectromechanical system technology. The method is generally applicable to bulk as well as layered materials. In this work, layers of glass spheres of different diameters were assembled on the sample surface forming a mask against plasma etching. Silicon surface structures with periodicity of 500 nm and feature dimensions of 20 nm were produced in this way. Thermal oxidation of the so structured silicon substrate offers the capability to vary the fill factor of the periodic structure owing to the volume expansion during oxidation but also to define silicon dioxide surface structures by selective plasma etching. Similar structures can be simply obtained by structuring silicon dioxide layers on silicon. The method offers a simple route for bridging the Nano- and Microtechnology and moreover, an uncomplicated way for photonic crystal fabrication.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

The progress in microsystem technology or nano technology places extended requirements to the fabrication processes. The trend is moving towards structuring within the nanometer scale on the one hand, and towards fabrication of structures with high aspect ratio (ratio of vertical vs. lateral dimensions) and large depths in the 100 µm scale on the other hand. Current procedures for the microstructuring of silicon are wet chemical etching and dry or plasma etching. A modern plasma etching technique for the structuring of silicon is the so-called "gas chopping" etching technique (also called "time-multiplexed etching"). In this etching technique, passivation cycles, which prevent lateral underetching of sidewalls, and etching cycles, which etch preferably in the vertical direction because of the sidewall passivation, are constantly alternated during the complete etching process. To do this, a CHF3/CH4 plasma, which generates CF monomeres is employed during the passivation cycle, and a SF6/Ar, which generates fluorine radicals and ions plasma is employed during the etching cycle. Depending on the requirements on the etched profile, the durations of the individual passivation and etching cycles are in the range of a few seconds up to several minutes. The profiles achieved with this etching process crucially depend on the flow of reactants, i.e. CF monomeres during the passivation cycle, and ions and fluorine radicals during the etching cycle, to the bottom of the profile, especially for profiles with high aspect ratio. With regard to the predictability of the etching processes, knowledge of the fundamental effects taking place during a gas chopping etching process, and their impact onto the resulting profile is required. For this purpose in the context of this work, a model for the description of the profile evolution of such etching processes is proposed, which considers the reactions (etching or deposition) at the sample surface on a phenomenological basis. Furthermore, the reactant transport inside the etching trench is modelled, based on angular distribution functions and on absorption probabilities at the sidewalls and bottom of the trench. A comparison of the simulated profiles with corresponding experimental profiles reveals that the proposed model reproduces the experimental profiles, if the angular distribution functions and absorption probabilities employed in the model is in agreement with data found in the literature. Therefor the model developed in the context of this work is an adequate description of the effects taking place during a gas chopping plasma etching process.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Mikrooptische Filter sind heutzutage in vielen Bereichen in der Telekommunikation unersetzlich. Wichtige Einsatzgebiete sind aber auch spektroskopische Systeme in der Medizin-, Prozess- und Umwelttechnik. Diese Arbeit befasst sich mit der Technologieentwicklung und Herstellung von luftspaltbasierenden, vertikal auf einem Substrat angeordneten, oberflächenmikromechanisch hergestellten Fabry-Perot-Filtern. Es werden zwei verschiedene Filtervarianten, basierend auf zwei verschiedenen Materialsystemen, ausführlich untersucht. Zum einen handelt es sich dabei um die Weiterentwicklung von kontinuierlich mikromechanisch durchstimmbaren InP / Luftspaltfiltern; zum anderen werden neuartige, kostengünstige Siliziumnitrid / Luftspaltfilter wissenschaftlich behandelt. Der Inhalt der Arbeit ist so gegliedert, dass nach einer Einleitung mit Vergleichen zu Arbeiten und Ergebnissen anderer Forschergruppen weltweit, zunächst einige theoretische Grundlagen zur Berechnung der spektralen Reflektivität und Transmission von beliebigen optischen Schichtanordnungen aufgezeigt werden. Auß erdem wird ein kurzer theoretischer Ü berblick zu wichtigen Eigenschaften von Fabry-Perot-Filtern sowie der Möglichkeit einer mikromechanischen Durchstimmbarkeit gegeben. Daran anschließ end folgt ein Kapitel, welches sich den grundlegenden technologischen Aspekten der Herstellung von luftspaltbasierenden Filtern widmet. Es wird ein Zusammenhang zu wichtigen Referenzarbeiten hergestellt, auf denen diverse Weiterentwicklungen dieser Arbeit basieren. Die beiden folgenden Kapitel erläutern dann ausführlich das Design, die Herstellung und die Charakterisierung der beiden oben erwähnten Filtervarianten. Abgesehen von der vorangehenden Epitaxie von InP / GaInAs Schichten, ist die Herstellung der InP / Luftspaltfilter komplett im Institut durchgeführt worden. Die Herstellungsschritte sind ausführlich in der Arbeit erläutert, wobei ein Schwerpunktthema das trockenchemische Ä tzen von InP sowie GaInAs, welches als Opferschichtmaterial für die Herstellung der Luftspalte genutzt wurde, behandelt. Im Verlauf der wissenschaftlichen Arbeit konnten sehr wichtige technische Verbesserungen entwickelt und eingesetzt werden, welche zu einer effizienteren technologischen Herstellung der Filter führten und in der vorliegenden Niederschrift ausführlich dokumentiert sind. Die hergestellten, für einen Einsatz in der optischen Telekommunikation entworfenen, elektrostatisch aktuierbaren Filter sind aus zwei luftspaltbasierenden Braggspiegeln aufgebaut, welche wiederum jeweils 3 InP-Schichten von (je nach Design) 357nm bzw. 367nm Dicke aufweisen. Die Filter bestehen aus im definierten Abstand parallel übereinander angeordneten Membranen, die über Verbindungsbrücken unterschiedlicher Anzahl und Länge an Haltepfosten befestigt sind. Da die mit 357nm bzw. 367nm vergleichsweise sehr dünnen Schichten freitragende Konstrukte mit bis zu 140 nm Länge bilden, aber trotzdem Positionsgenauigkeiten im nm-Bereich einhalten müssen, handelt es sich hierbei um sehr anspruchsvolle mikromechanische Bauelemente. Um den Einfluss der zahlreichen geometrischen Strukturparameter studieren zu können, wurden verschiedene laterale Filterdesigns implementiert. Mit den realisierten Filter konnte ein enorm weiter spektraler Abstimmbereich erzielt werden. Je nach lateralem Design wurden internationale Bestwerte für durchstimmbare Fabry-Perot-Filter von mehr als 140nm erreicht. Die Abstimmung konnte dabei kontinuierlich mit einer angelegten Spannung von nur wenigen Volt durchgeführt werden. Im Vergleich zu früher berichteten Ergebnissen konnten damit sowohl die Wellenlängenabstimmung als auch die dafür benötigte Abstimmungsspannung signifikant verbessert werden. Durch den hohen Brechungsindexkontrast und die geringe Schichtdicke zeigen die Filter ein vorteilhaftes, extrem weites Stopband in der Größ enordnung um 550nm. Die gewählten, sehr kurzen Kavitätslängen ermöglichen einen freien Spektralbereich des Filters welcher ebenfalls in diesen Größ enordnungen liegt, so dass ein weiter spektraler Einsatzbereich ermöglicht wird. Während der Arbeit zeigte sich, dass Verspannungen in den freitragenden InPSchichten die Funktionsweise der mikrooptischen Filter stark beeinflussen bzw. behindern. Insbesondere eine Unterätzung der Haltepfosten und die daraus resultierende Verbiegung der Ecken an denen sich die Verbindungsbrücken befinden, führte zu enormen vertikalen Membranverschiebungen, welche die Filtereigenschaften verändern. Um optimale Ergebnisse zu erreichen, muss eine weitere Verbesserung der Epitaxie erfolgen. Jedoch konnten durch den zusätzlichen Einsatz einer speziellen Schutzmaske die Unterätzung der Haltepfosten und damit starke vertikale Verformungen reduziert werden. Die aus der Verspannung resultierenden Verformungen und die Reaktion einzelner freistehender InP Schichten auf eine angelegte Gleich- oder Wechselspannung wurde detailliert untersucht. Mittels Weisslichtinterferometrie wurden lateral identische Strukturen verglichen, die aus unterschiedlich dicken InP-Schichten (357nm bzw. 1065nm) bestehen. Einen weiteren Hauptteil der Arbeit stellen Siliziumnitrid / Luftspaltfilter dar, welche auf einem neuen, im Rahmen dieser Dissertation entwickelten, technologischen Ansatz basieren. Die Filter bestehen aus zwei Braggspiegeln, die jeweils aus fünf 590nm dicken, freistehenden Siliziumnitridschichten aufgebaut sind und einem Abstand von 390nm untereinander aufweisen. Die Filter wurden auf Glassubstraten hergestellt. Der Herstellungsprozess ist jedoch auch mit vielen anderen Materialien oder Prozessen kompatibel, so dass z.B. eine Integration mit anderen Bauelemente relativ leicht möglich ist. Die Prozesse dieser ebenfalls oberflächenmikromechanisch hergestellten Filter wurden konsequent auf niedrige Herstellungskosten optimiert. Als Opferschichtmaterial wurde hier amorph abgeschiedenes Silizium verwendet. Der Herstellungsprozess beinhaltet die Abscheidung verspannungsoptimierter Schichten (Silizium und Siliziumnitrid) mittels PECVD, die laterale Strukturierung per reaktiven Ionenätzen mit den Gasen SF6 / CHF3 / Ar sowie Fotolack als Maske, die nasschemische Unterätzung der Opferschichten mittels KOH und das Kritisch-Punkt-Trocken der Proben. Die Ergebnisse der optischen Charakterisierung der Filter zeigen eine hohe Ü bereinstimmung zwischen den experimentell ermittelten Daten und den korrespondierenden theoretischen Modellrechnungen. Weisslichtinterferometermessungen der freigeätzten Strukturen zeigen ebene Filterschichten und bestätigen die hohe vertikale Positioniergenauigkeit, die mit diesem technologischen Ansatz erreicht werden kann.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Am Institut für Mikrostrukturtechnologie und Analytik wurde eine neue Technik entwickelt, die neue Anwendungen und Methoden der Mikro- und Nanostrukturierung auf Basis eines neuen Verfahrens erschlossen hat. NANOJET führt über die passive Rastersondenmikroskopie hinaus zu einem vielseitigen, aktiven Bearbeitungswerkzeug auf der Mikro- und Nanometerskala. NANOJET (NANOstructuring Downstream PlasmaJET) ist eine aktive Rasterkraft-Mikroskopie-Sonde. Radikale (chemisch aktive Teilchen, die ein ungepaartes Valenzelektron besitzen) strömen aus dem Ende einer ultradünnen, hohlen Rasterkraftmikroskop-Spitze. Dadurch wird es möglich, über die übliche passive Abtastung einer Probenoberfläche hinausgehend, diese simultan und in-situ durch chemische Reaktionen zu verändern. Die Abtragung von Material wird durch eine chemische Ätzreaktion erreicht. In dieser Arbeit wurde zum größten Teil Photoresist als Substrat für die Ätzexperimente verwendet. Für das Ätzen des Resists wurden die Atome des Fluors und des Sauerstoffs im Grundzustand als verantwortlich identifiziert. Durch Experimente und durch Ergänzung von Literaturdaten wurde die Annahme bestätigt, dass Sauerstoffradikale mit Unterstützung von Fluorradikalen für die hohen erzielten Ätzraten verantwortlich sind. Die Beimischung von Fluor in einem Sauerstoffplasma führt zu einer Verringerung der Aktivierungsenergie für die Ätzreaktion gegenüber Verwendung reinen Sauerstoffs. In weiterer Folge wurde ein Strukturierungsverfahren dargestellt. Hierbei wurden "geformte Kapillaren" (mikrostrukturierte Aperturen) eingesetzt. Die Herstellung der Aperturen erfolgte durch einen elektrochemischen Ätzstop-Prozess. Die typische Größe der unter Verwendung der "geformten Kapillaren" geätzten Strukturen entsprach den Kapillarenöffnungen. Es wurde ein Monte-Carlo Simulationsprogramm entwickelt, welches den Transport der reaktiven Teilchen in der langen Transportröhre simulierte. Es wurde sowohl die Transmission der Teilchen in der Transportröhre und der Kapillare als auch ihre Winkelverteilung nach dem Verlassen der Kapillare berechnet. Das Aspektverhältnis der Röhren hat dabei einen sehr starken Einfluss. Mit einem steigenden Aspektverhältnis nahm die Transmission exponentiell ab. Die geschaffene experimentelle Infrastruktur wurde genutzt, um auch biologische Objekte zu behandeln und zu untersuchen. Hierfür wurde eine neue Methodik entwickelt, die eine dreidimensionale Darstellung des Zellinneren erlaubt. Dies wurde durch die kontrollierte Abtragung von Material aus der Zellmembran durchgeführt. Die Abtragung der Zellmembran erfolgte mittels Sauerstoffradikalen, die durch eine hohle Spitze lokalisiert zum Ort der Reaktion transportiert wurden. Ein piezoresistiver Cantilever diente als Sensor in dem zur Bildgebung eingesetzten RKM. Das entwickelte Verfahren ermöglicht es nun erstmals, schonend Zellen zu öffnen und die innen liegenden Organellen weiter zu untersuchen. Als Nachweis für weitere Verwendungsmöglichkeiten des NANOJET-Verfahrens wurde auch Knochenmaterial behandelt. Die Ergebnisse dieser Experimente zeigen klar, dass das Verfahren für vielfältige biologische Materialien verwendbar ist und somit nun ein weiter Anwendungskreis in der Biologie und Medizin offen steht.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Physikalische Grundlagenforschung und anwendungsorientierte physikalische Forschung auf den Gebieten nanoskaliger kristalliner und amorpher fester Körper haben in vielfacher Weise eine große Bedeutung. Neben dem Verständnis für die Struktur der Materie und die Wechselwirkung von Objekten von der Größe einiger Atome ist die Erkenntnis über die physikalischen Eigenschaften nanostrukturierter Systeme von hohem Interesse. Diese Forschung eröffnet die Möglichkeit, die mit der Mikroelektronik begonnene Miniaturisierung fortzusetzen und wird darüber hinaus neue Anwendungsfelder eröffnen. Das Erarbeiten der physikalischen Grundlagen der Methoden zur Herstellung und Strukturierung ist dabei zwingend notwendig, da hier Wirkungsprinzipien dominieren, die erst bei Strukturgrößen im Nanometerbereich auftreten oder hinreichend stark ausgeprägt sind. Insbesondere Halbleitermaterialien sind hier von großem Interesse. Die in dieser Arbeit untersuchten Resonatorstrukturen, die auf dem kristallinen Verbindungshalbleitermaterial GaInAsP/InP basieren, erschließen wichtige Anwendungsfelder im Bereich der optischen Datenübertragung sowie der optischen Sensorik. Hergestellt wird das Halbleitermaterial mit der Metallorganischen Gasphasenepitaxie. Die experimentell besimmten Kenngrößen lassen Rückschlüsse auf die Güte der Materialien, die quantenmechanischen Wirkungsprinzipien und die Bauelementcharakteristik zu und führen zu optimal angepassten Kristallstrukturen. Auf Basis dieser optimierten Materialien wurde ein durchstimmbarer Fabry-Perot-Filter hergestellt, der aus einer Kombination aus InP-Membranen und Luftspalten besteht und elektromechanisch aktuiert werden kann. Das GaInAsP dient hierbei als wenige hundert nm dicke Opferschicht, die ätztechnisch hochselektiv beseitigt wird. Die Qualität der Grenzflächen zum InP ist entscheidend für die Qualität der freigeätzten Kavitäten und damit für die mechanische Gesamtstabilität der Struktur. Der in dieser Arbeit beschriebene Filter hat eine Zentralwellenlänge im Bereich von 1550 nm und weist einen Durchstimmbereich von 221 nm auf. Erzielt wurde dieser Wert durch ein konsistentes Modell der wirkenden Verspannungskomponenten und einer optimierten epitaktischen Kontrolle der Verspannungsparameter. Das realisierte Filterbauelement ist vielversprechend für den Einsatz in der optischen Kommunikation im Bereich von WDM (wavelength division multiplexing) Anwendungen. Als weitere Resonatorstrukur wurde ein Asymmetrisch gekoppelter Quantenfilm als optisch aktives Medium, bestehend aus GaInAsP mit variierender Materialkomposition und Verspannung, untersucht, um sein Potential für eine breitbandige Emission zu untersuchen und mit bekannten Modellen zu vergleichen. Als Bauelementdesign wurde eine kantenemittierende Superlumineszenzleuchtdiode gewählt. Das Ergebnis ist eine Emissionskurve von 100 nm, die eine höhere Unabhängigkeit vom Injektionsstrom aufweist als andere bekannte Konzepte. Die quantenmechanischen Wirkungsprinzipien - im wesentlichen die Kopplung der beiden asymmetrischen Potentialtöpfe und die damit verbundene Kopplung der Wellenfunktionen - werden qualitativ diskutiert. Insgesamt bestätigt sich die Eignung des Materials GaInAsP auch für neuartige, qualitativ höchst anspruchsvolle Resonatorstrukturen und die Bedeutung der vorgestellten und untersuchten Resonatorkonzepte. Die vorgestellten Methoden, Materialien und Bauelemente liefern aufgrund ihrer Konzeption und der eingehenden experimentellen Untersuchungen einen Beitrag sowohl zu den zugrunde liegenden mechanischen, optoelektronischen und quantenmechanischen Wirkungsprinzipien der Strukturen, als auch zur Realisierung neuer optoelektronischer Bauelemente.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Optische Spektrometer sind bekannte Instrumente für viele Anwendungen in Life Sciences, Produktion und Technik aufgrund ihrer guten Selektivität und Sensitivität zusammen mit ihren berührungslosen Messverfahren. MEMS (engl. Micro-electro-mechanical system)-basierten Spektrometer werden als disruptive Technologie betrachtet, in der miniaturisierte Fabry-Pérot Filter als sehr attraktiv für die optische Kommunikation und 'Smart Personal Environments', einschließlich des medizinischen Anwendungen, zu nennen sind. Das Ziel dieser Arbeit ist, durchstimmbare Filter-Arrays mit kostengünstigen Technologien herzustellen. Materialien und technologische Prozesse, die für die Herstellung der Filter-Arrays benötigt werden, wurden untersucht. Im Rahmen dieser Arbeit, wurden durchstimmbare Fabry Pérot Filter-Arrays für den sichtbaren Spektralbereich untersucht, die als Nano-Spektrometer eingesetzt werden. Darüber hinaus wurde ein Modell der numerischen Simulation vorgestellt, die zur Ermittlung eines optimales geometrisches Designs verwendet wurde, wobei sich das Hauptaugenmerk der Untersuchung auf die Durchbiegung der Filtermembranen aufgrund der mechanischen Verspannung der Schichten richtet. Die geometrische Form und Größe der Filtermembranen zusammen mit der Verbindungsbrücken sind von entscheidender Bedeutung, da sie die Durchbiegung beeinflussen. Lange und schmale Verbindungsbrücken führen zur stärkeren Durchbiegung der Filtermembranen. Dieser Effekt wurde auch bei der Vergrößerung der Durchmesser der Membran beobachtet. Die Filter mit spiralige (engl. curl-bent) Verbindungsbrücken führten zu geringerer Deformation als die mit geraden oder gebogenen Verbindungsbrücken. Durchstimmbare Si3N4/SiO2 DBR-basierende Filter-Arrays wurden erfolgreich hergestellt. Eine Untersuchung über die UV-NIL Polymere, die als Opferschicht und Haltepfosten-Material der Filter verwendet wurden, wurde durchgeführt. Die Polymere sind kompatibel zu dem PECVD-Verfahren, das für die Spiegel-Herstellung verwendet wird. Die laterale Strukturierung der DBR-Spiegel mittels des RIE (engl. Reactive Ion Etching)-Prozesses sowie der Unterätz-Prozess im Sauerstoffplasma zur Entfernung der Opferschicht und zum Erreichen der Luftspalt-Kavität, wurden durchgeführt. Durchstimmbare Filter-Arrays zeigten einen Abstimmbereich von 70 nm bei angelegten Spannungen von weniger als 20 V. Optimierungen bei der Strukturierung von TiO2/SiO2 DBR-basierenden Filtern konnte erzielt werden. Mit der CCP (engl. Capacitively Coupling Plasma)-RIE, wurde eine Ätzrate von 20 nm/min erreicht, wobei Fotolack als Ätzmaske diente. Mit der ICP (engl. Inductively Coupling Plasma)-RIE, wurden die Ätzrate von mehr als 60 nm/min mit einem Verhältniss der Ar/SF6 Gasflüssen von 10/10 sccm und Fotolack als Ätzmasken erzielt. Eine Ätzrate von 80 bis 90 nm/min wurde erreicht, hier diente ITO als Ätzmaske. Ausgezeichnete geätzte Profile wurden durch den Ätzprozess unter Verwendung von 500 W ICP/300 W RF-Leistung und Ar/SF6 Gasflüsse von 20/10 sccm erreicht. Die Ergebnisse dieser Arbeit ermöglichen die Realisierung eines breiten Spektralbereichs der Filter-Arrays im Nano-Spektrometer.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

High-speed semiconductor lasers are an integral part in the implemen- tation of high-bit-rate optical communications systems. They are com- pact, rugged, reliable, long-lived, and relatively inexpensive sources of coherent light. Due to the very low attenuation window that exists in the silica based optical fiber at 1.55 μm and the zero dispersion point at 1.3 μm, they have become the mainstay of optical fiber com- munication systems. For the fabrication of lasers with gratings such as, distributed bragg reflector or distributed feedback lasers, etching is the most critical step. Etching defines the lateral dimmensions of the structure which determines the performance of optoelectronic devices. In this thesis studies and experiments were carried out about the exist- ing etching processes for InP and a novel dry etching process was de- veloped. The newly developed process was based on Cl2/CH4/H2/Ar chemistry and resulted in very smooth surfaces and vertical side walls. With this process the grating definition was significantly improved as compared to other technological developments in the respective field. A surface defined grating definition approach is used in this thesis work which does not require any re-growth steps and makes the whole fabrication process simpler and cost effective. Moreover, this grating fabrication process is fully compatible with nano-imprint lithography and can be used for high throughput low-cost manufacturing. With usual etching techniques reported before it is not possible to etch very deep because of aspect ratio dependent etching phenomenon where with increasing etch depth the etch rate slows down resulting in non-vertical side walls and footing effects. Although with our de- veloped process quite vertical side walls were achieved but footing was still a problem. To overcome the challenges related to grating defini- tion and deep etching, a completely new three step gas chopping dry etching process was developed. This was the very first time that a time multiplexed etching process for an InP based material system was demonstrated. The developed gas chopping process showed extra ordinary results including high mask selectivity of 15, moderate etch- ing rate, very vertical side walls and a record high aspect ratio of 41. Both the developed etching processes are completely compatible with nano imprint lithography and can be used for low-cost high-throughput fabrication. A large number of broad area laser, ridge waveguide laser, distributed feedback laser, distributed bragg reflector laser and coupled cavity in- jection grating lasers were fabricated using the developed one step etch- ing process. Very extensive characterization was done to optimize all the important design and fabrication parameters. The devices devel- oped have shown excellent performance with a very high side mode suppression ratio of more than 52 dB, an output power of 17 mW per facet, high efficiency of 0.15 W/A, stable operation over temperature and injected currents and a threshold current as low as 30 mA for almost 1 mm long device. A record high modulation bandwidth of 15 GHz with electron-photon resonance and open eye diagrams for 10 Gbps data transmission were also shown.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

In this work investigation of the QDs formation and the fabrication of QD based semiconductor lasers for telecom applications are presented. InAs QDs grown on AlGaInAs lattice matched to InP substrates are used to fabricate lasers operating at 1.55 µm, which is the central wavelength for far distance data transmission. This wavelength is used due to its minimum attenuation in standard glass fibers. The incorporation of QDs in this material system is more complicated in comparison to InAs QDs in the GaAs system. Due to smaller lattice mismatch the formation of circular QDs, elongated QDs and quantum wires is possible. The influence of the different growth conditions, such as the growth temperature, beam equivalent pressure, amount of deposited material on the formation of the QDs is investigated. It was already demonstrated that the formation process of QDs can be changed by the arsenic species. The formation of more round shaped QDs was observed during the growth of QDs with As2, while for As4 dash-like QDs. In this work only As2 was used for the QD growth. Different growth parameters were investigated to optimize the optical properties, like photoluminescence linewidth, and to implement those QD ensembles into laser structures as active medium. By the implementation of those QDs into laser structures a full width at half maximum (FWHM) of 30 meV was achieved. Another part of the research includes the investigation of the influence of the layer design of lasers on its lasing properties. QD lasers were demonstrated with a modal gain of more than 10 cm-1 per QD layer. Another achievement is the large signal modulation with a maximum data rate of 15 Gbit/s. The implementation of optimized QDs in the laser structure allows to increase the modal gain up to 12 cm-1 per QD layer. A reduction of the waveguide layer thickness leads to a shorter transport time of the carriers into the active region and as a result a data rate up to 22 Gbit/s was achieved, which is so far the highest digital modulation rate obtained with any 1.55 µm QD laser. The implementation of etch stop layers into the laser structure provide the possibility to fabricate feedback gratings with well defined geometries for the realization of DFB lasers. These DFB lasers were fabricated by using a combination of dry and wet etching. Single mode operation at 1.55 µm with a high side mode suppression ratio of 50 dB was achieved.

Relevância:

10.00% 10.00%

Publicador:

Resumo:

Im Rahmen dieser interdisziplinären Doktorarbeit wird eine (Al)GaN Halbleiteroberflächenmodifikation untersucht, mit dem Ziel eine verbesserte Grenzfläche zwischen dem Material und dem Dielektrikum zu erzeugen. Aufgrund von Oberflächenzuständen zeigen GaN basierte HEMT Strukturen üblicherweise große Einsatzspannungsverschiebungen. Bisher wurden zur Grenzflächenmodifikation besonders die Entfernung von Verunreinigungen wie Sauerstoff oder Kohlenstoff analysiert. Die nasschemischen Oberflächenbehandlungen werden vor der Abscheidung des Dielektrikums durchgeführt, wobei die Kontaminationen jedoch nicht vollständig entfernt werden können. In dieser Arbeit werden Modifikationen der Oberfläche in wässrigen Lösungen, in Gasen sowie in Plasma analysiert. Detaillierte Untersuchungen zeigen, dass die inerte (0001) c-Ebene der Oberfläche kaum reagiert, sondern hauptsächlich die weniger polaren r- und m- Ebenen. Dies kann deutlich beim Defektätzen sowie bei der thermischen Oxidation beobachtet werden. Einen weiteren Ansatz zur Oberflächenmodifikation stellen Plasmabehandlungen dar. Hierbei wird die Oberflächenterminierung durch eine nukleophile Substitution mit Lewis Basen, wie Fluorid, Chlorid oder Oxid verändert, wodurch sich die Elektronegativitätsdifferenz zwischen dem Metall und dem Anion im Vergleich zur Metall-Stickstoff Bindung erhöht. Dies führt gleichzeitig zu einer Erhöhung der Potentialdifferenz des Schottky Kontakts. Sauerstoff oder Fluor besitzen die nötige thermische Stabilität um während einer Silicium-nitridabscheidung an der (Al)GaN Oberfläche zu bleiben. Sauerstoffvariationen an der Oberfläche werden in NH3 bei 700°C, welches die nötigen Bedingungen für die Abscheidung darstellen, immer zu etwa 6-8% reduziert – solche Grenzflächen zeigen deswegen auch keine veränderten Ergebnisse in Einsatzspannungsuntersuchungen. Im Gegensatz dazu zeigt die fluorierte Oberfläche ein völlig neues elektrisches Verhalten: ein neuer dominanter Oberflächendonator mit einem schnellen Trapping und Detrapping Verhalten wird gefunden. Das Energieniveau dieses neuen, stabilen Donators liegt um ca. 0,5 eV tiefer in der Bandlücke als die ursprünglichen Energieniveaus der Oberflächenzustände. Physikalisch-chemische Oberflächen- und Grenzflächenuntersuchung mit XPS, AES oder SIMS erlauben keine eindeutige Schlussfolgerung, ob das Fluor nach der Si3N4 Abscheidung tatsächlich noch an der Grenzfläche vorhanden ist, oder einfach eine stabilere Oberflächenrekonstruktion induziert wurde, bei welcher es selbst nicht beteiligt ist. In beiden Fällen ist der neue Donator in einer Konzentration von 4x1013 at/cm-2 vorhanden. Diese Dichte entspricht einer Oberflächenkonzentration von etwa 1%, was genau an der Nachweisgrenze der spektroskopischen Methoden liegt. Jedoch werden die elektrischen Oberflächeneigenschaften durch die Oberflächenmodifikation deutlich verändert und ermöglichen eine potentiell weiter optimierbare Grenzfläche.