16 resultados para Library Instruction

em Indian Institute of Science - Bangalore - Índia


Relevância:

20.00% 20.00%

Publicador:

Resumo:

DNA obtained from a human sputum isolate of Mycobacterium tuberculosis, NTI-64719, which showed extensive dissemination in the guinea pig model resulting in a high score for virulence was used to construct an expression library in the lambda ZAP vector. The size of DNA inserts in the library ranged from 1 to 3 kb, and recombinants represented 60% of the total plaques obtained. When probed with pooled serum from chronically infected tuberculosis patients, the library yielded 176 recombinants with a range of signal intensities. Among these, 93 recombinants were classified into 12 groups on the basis of DNA hybridization experiments, The polypeptides synthesized by the recombinants were predominantly LacZ fusion proteins, Serum obtained from patients who were clinically diagnosed to be in the early phase of M. tuberculosis infection was used to probe the 176 recombinants obtained. interestingly, some recombinants that gave very strong signals in the original screen did not react with early-phase serum; conversely, others whose signals were extremely weak in the original screen gave very intense signals with serum from recently infected patients, This indicates the differential nature of either the expression of these antigens or the immune response elicited by them as a function of disease progression.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Traditionally, an instruction decoder is designed as a monolithic structure that inhibit the leakage energy optimization. In this paper, we consider a split instruction decoder that enable the leakage energy optimization. We also propose a compiler scheduling algorithm that exploits instruction slack to increase the simultaneous active and idle duration in instruction decoder. The proposed compiler-assisted scheme obtains a further 14.5% reduction of energy consumption of instruction decoder over a hardware-only scheme for a VLIW architecture. The benefits are 17.3% and 18.7% in the context of a 2-clustered and a 4-clustered VLIW architecture respectively.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Ten new cyclic hexadepsipeptides, six isariins and four isaridins, from the fungus Isaria have been identified and characterized by high-performance liquid chromatography, coupled to tandem electrospray ionization mass spectrometry (LC-ESIMS/MS). The isariins possess a beta-hydroxy acid residue and five alpha-amino acids, while isaridins contain a beta-amino acid, an alpha-hydroxy acid, and four alpha-amino acids. One- and two-dimensional NMR spectroscopy confirmed the chemical identity of some of the isariin fractions. Mass spectral fragmentation patterns of [M + H](+) ions reveal clear diagnostic fragment ions for the isariins and isaridins. Previously described cyclic depsipeptides, isarfelins from Isaria felina (Guo, Y. X.; Liu, Q. H.; Ng, T. B.; Wang H. X. Peptides 2005, 26, 2384), are now reassigned as members of the isaridin family. Examination of isaridin sequences revealed significant similarities with cyclic hexadepsipeptides such as destruxins and roseotoxins. The structure of an isariin (isariin A) investigated by NMR spectroscopy indicated the presence of a hybrid alpha beta C-11 turn, formed by the beta-hydroxy acid and glycine residues and a (D)Leu-(L)Ala type II' beta-turn. Additionally, the inhibitory effect of isariins and an isaridin on the intra-erythrocytic growth of Plasmodium falciparum is presented.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Ten new cyclic hexadepsipeptides, six isariins and four isaridins, from the fungus Isaria have been identified and characterized by high-performance liquid chromatography, coupled to tandem electrospray ionization mass spectrometry (LC-ESIMS/MS). The isariins possess a beta-hydroxy acid residue and five alpha-amino acids, while isaridins contain a beta-amino acid, an alpha-hydroxy acid, and four alpha-amino acids. One- and two-dimensional NMR spectroscopy confirmed the chemical identity of some of the isariin fractions. Mass spectral fragmentation patterns of [M + H](+) ions reveal clear diagnostic fragment ions for the isariins and isaridins. Previously described cyclic depsipeptides, isarfelins from Isaria felina (Guo, Y. X.; Liu, Q. H.; Ng, T. B.; Wang H. X. Peptides 2005, 26, 2384), are now reassigned as members of the isaridin family. Examination of isaridin sequences revealed significant similarities with cyclic hexadepsipeptides such as destruxins and roseotoxins. The structure of an isariin (isariin A) investigated by NMR spectroscopy indicated the presence of a hybrid alpha beta C-11 turn, formed by the beta-hydroxy acid and glycine residues and a (D)Leu-(L)Ala type II' beta-turn. Additionally, the inhibitory effect of isariins and an isaridin on the intra-erythrocytic growth of Plasmodium falciparum is presented.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

CDS/ISIS is an advanced non-numerical information storage and retrieval software developed by UNESCO since 1985 to satisfy the need expressed by many institutions, especially in developing countries, to be able to streamline their information processing activities by using modern (and relatively inexpensive) technologies [1]. CDS/ISIS is available for MS-DOS, Windows and Unix operating system platforms. The formatting language of CDS/ISIS is one of its several strengths. It is not only used for formatting records for display but is also used for creating customized indexes. CDS/ISIS by itself does not facilitate in publishing its databases on the Internet nor does it facilitate in publishing on CD-ROMs. However, numbers of open source tools are now available, which enables in publishing CDS/ISIS databases on the Internet and also on CD-ROMs. In this paper, we have discussed the ways and means of integrating CDS/ISIS databases with GSDL, an open source digital library (DL) software.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Large instruction windows and issue queues are key to exploiting greater instruction level parallelism in out-of-order superscalar processors. However, the cycle time and energy consumption of conventional large monolithic issue queues are high. Previous efforts to reduce cycle time segment the issue queue and pipeline wakeup. Unfortunately, this results in significant IPC loss. Other proposals which address energy efficiency issues by avoiding only the unnecessary tag-comparisons do not reduce broadcasts. These schemes also increase the issue latency.To address both these issues comprehensively, we propose the Scalable Lowpower Issue Queue (SLIQ). SLIQ augments a pipelined issue queue with direct indexing to mitigate the problem of delayed wakeups while reducing the cycle time. Also, the SLIQ design naturally leads to significant energy savings by reducing both the number of tag broadcasts and comparisons required.A 2 segment SLIQ incurs an average IPC loss of 0.2% over the entire SPEC CPU2000 suite, while achieving a 25.2% reduction in issue latency when compared to a monolithic 128-entry issue queue for an 8-wide superscalar processor. An 8 segment SLIQ improves scalability by reducing the issue latency by 38.3% while incurring an IPC loss of only 2.3%. Further, the 8 segment SLIQ significantly reduces the energy consumption and energy-delay product by 48.3% and 67.4% respectively on average.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Superscalar processors currently have the potential to fetch multiple basic blocks per cycle by employing one of several recently proposed instruction fetch mechanisms. However, this increased fetch bandwidth cannot be exploited unless pipeline stages further downstream correspondingly improve. In particular,register renaming a large number of instructions per cycle is diDcult. A large instruction window, needed to receive multiple basic blocks per cycle, will slow down dependence resolution and instruction issue. This paper addresses these and related issues by proposing (i) partitioning of the instruction window into multiple blocks, each holding a dynamic code sequence; (ii) logical partitioning of the registerjle into a global file and several local jles, the latter holding registers local to a dynamic code sequence; (iii) the dynamic recording and reuse of register renaming information for registers local to a dynamic code sequence. Performance studies show these mechanisms improve performance over traditional superscalar processors by factors ranging from 1.5 to a little over 3 for the SPEC Integer programs. Next, it is observed that several of the loops in the benchmarks display vector-like behavior during execution, even if the static loop bodies are likely complex for compile-time vectorization. A dynamic loop vectorization mechanism that builds on top of the above mechanisms is briefly outlined. The mechanism vectorizes up to 60% of the dynamic instructions for some programs, albeit the average number of iterations per loop is quite small.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Instruction reuse is a microarchitectural technique that improves the execution time of a program by removing redundant computations at run-time. Although this is the job of an optimizing compiler, they do not succeed many a time due to limited knowledge of run-time data. In this paper we examine instruction reuse of integer ALU and load instructions in network processing applications. Specifically, this paper attempts to answer the following questions: (1) How much of instruction reuse is inherent in network processing applications?, (2) Can reuse be improved by reducing interference in the reuse buffer?, (3) What characteristics of network applications can be exploited to improve reuse?, and (4) What is the effect of reuse on resource contention and memory accesses? We propose an aggregation scheme that combines the high-level concept of network traffic i.e. "flows" with a low level microarchitectural feature of programs i.e. repetition of instructions and data along with an architecture that exploits temporal locality in incoming packet data to improve reuse. We find that for the benchmarks considered, 1% to 50% of instructions are reused while the speedup achieved varies between 1% and 24%. As a side effect, instruction reuse reduces memory traffic and can therefore be considered as a scheme for low power.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Mass spectrometric analysis of a banyan endophyte, Bacillus subtilis K1, extract showing broad spectrum antifungal activity revealed a complex mixture of lipopeptides, iturins, surfactins, and fengycins. Fractionation by reversed-phase high performance liquid chromatography (HPLC) facilitated a detailed analysis of fengycin microheterogeneity. Matrix assisted laser desorption ionization (MALDI) and electrospray ionization (ESI) mass spectrometric studies permitted the identification of several new fengycin variants. Four major sites of heterogeneity are identified: (1) N-terminus beta-hydroxy fatty acid moiety, where chain length variation and the presence of unsaturation occur, (2) position 6 (Ala/Val/Ile/Leu), (3) position 10 (Val/Ile) within the macrocyclic ring, and (4) Gln to Glu replacement at position 8, resulting in fengycin variants that differ in mass by 1 Da. Diagnostic fragment ions provide a quick method for localizing the sites of variation in the macrocycle or the linear segment. Subsequent establishment of the sequences is achieved by MS/MS analysis of linear fengycin species produced by hydrolysis of the macrocyclic lactone. Unsaturation in the fatty acid chain and the presence of linear precursors in the B. subtilis K1 extract are also established by mass spectrometry. The anomalous distribution of intensities within isotopic multiplets is a diagnostic for Gln/Glu replacements. High resolution mass spectrometry facilitates the identification of fengycin species differing by 1 Da by localizing the variable position (Gln(8)/Glu(8)) in the fengycin variants.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Most of the existing WCET estimation methods directly estimate execution time, ET, in cycles. We propose to study ET as a product of two factors, ET = IC * CPI, where IC is instruction count and CPI is cycles per instruction. Considering directly the estimation of ET may lead to a highly pessimistic estimate since implicitly these methods may be using worst case IC and worst case CPI. We hypothesize that there exists a functional relationship between CPI and IC such that CPI=f(IC). This is ascertained by computing the covariance matrix and studying the scatter plots of CPI versus IC. IC and CPI values are obtained by running benchmarks with a large number of inputs using the cycle accurate architectural simulator, Simplescalar on two different architectures. It is shown that the benchmarks can be grouped into different classes based on the CPI versus IC relationship. For some benchmarks like FFT, FIR etc., both IC and CPI are almost a constant irrespective of the input. There are other benchmarks that exhibit a direct or an inverse relationship between CPI and IC. In such a case, one can predict CPI for a given IC as CPI=f(IC). We derive the theoretical worst case IC for a program, denoted as SWIC, using integer linear programming(ILP) and estimate WCET as SWIC*f(SWIC). However, if CPI decreases sharply with IC then measured maximum cycles is observed to be a better estimate. For certain other benchmarks, it is observed that the CPI versus IC relationship is either random or CPI remains constant with varying IC. In such cases, WCET is estimated as the product of SWIC and measured maximum CPI. It is observed that use of the proposed method results in tighter WCET estimates than Chronos, a static WCET analyzer, for most benchmarks for the two architectures considered in this paper.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

With the development of deep sequencing methodologies, it has become important to construct site saturation mutant (SSM) libraries in which every nucleotide/codon in a gene is individually randomized. We describe methodologies for the rapid, efficient, and economical construction of such libraries using inverse polymerase chain reaction (PCR). We show that if the degenerate codon is in the middle of the mutagenic primer, there is an inherent PCR bias due to the thermodynamic mismatch penalty, which decreases the proportion of unique mutants. Introducing a nucleotide bias in the primer can alleviate the problem. Alternatively, if the degenerate codon is placed at the 5' end, there is no PCR bias, which results in a higher proportion of unique mutants. This also facilitates detection of deletion mutants resulting from errors during primer synthesis. This method can be used to rapidly generate SSM libraries for any gene or nucleotide sequence, which can subsequently be screened and analyzed by deep sequencing. (C) 2013 Elsevier Inc. All rights reserved.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

In this paper we present a framework for realizing arbitrary instruction set extensions (IE) that are identified post-silicon. The proposed framework has two components viz., an IE synthesis methodology and the architecture of a reconfigurable data-path for realization of the such IEs. The IE synthesis methodology ensures maximal utilization of resources on the reconfigurable data-path. In this context we present the techniques used to realize IEs for applications that demand high throughput or those that must process data streams. The reconfigurable hardware called HyperCell comprises a reconfigurable execution fabric. The fabric is a collection of interconnected compute units. A typical use case of HyperCell is where it acts as a co-processor with a host and accelerates execution of IEs that are defined post-silicon. We demonstrate the effectiveness of our approach by evaluating the performance of some well-known integer kernels that are realized as IEs on HyperCell. Our methodology for realizing IEs through HyperCells permits overlapping of potentially all memory transactions with computations. We show significant improvement in performance for streaming applications over general purpose processor based solutions, by fully pipelining the data-path. (C) 2014 Elsevier B.V. All rights reserved.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

A novel series of nitrofuran containing spiropyrrolidines has been synthesized with high regioselectivity in moderate to excellent yields via 1,3-dipolar cycloaddition reaction of azomethine ylides with various substituted chalcones.

Relevância:

20.00% 20.00%

Publicador:

Resumo:

Discovering new drugs to treat tuberculosis more efficiently and to overcome multidrug resistance is a world health priority. To find novel antitubercular agents several approaches have been used in various institutions worldwide, including target-based approaches against several validated mycobacterial enzymes and phenotypic screens. We screened more than 17,000 compounds from Vichem's Nested Chemical Library(TM) using an integrated strategy involving whole cell-based assays with Corynebacterium glutamicum and Mycobacterium tuberculosis, and target-based assays with protein kinases PknA, PknB and PknG as well as other targets such as PimA and bacterial topoisomerases simultaneously. With the help of the target-based approach we have found very potent hits inhibiting the selected target enzymes, but good minimal inhibitory concentrations (MIC) against M. tuberculosis were not achieved. Focussing on the whole cell-based approach several potent hits were found which displayed minimal inhibitory concentrations (MIC) against M. tuberculosis below 10 mu M and were non-mutagenic, non-cytotoxic and the targets of some of the hits were also identified. The most active hits represented various scaffolds. Medicinal chemistry-based lead optimization was performed applying various strategies and, as a consequence, a series of novel potent compounds were synthesized. These efforts resulted in some effective potential antitubercular lead compounds which were confirmed in phenotypic assays. (C) 2015 Elsevier Ltd. All rights reserved.