11 resultados para RF reactive sputtering

em Universidad Politécnica de Madrid


Relevância:

90.00% 90.00%

Publicador:

Resumo:

In this work, we analyze the influence of the processing pressure and the substrate–target distance on the synthesis by reactive sputtering of c-axis oriented polycrystalline aluminum nitride thin films deposited on Si(100) wafers. The crystalline quality of AlN has been characterized by high-resolution X-ray diffraction (HR-XRD). The films exhibited a very high degree of c-axis orientation especially when a low process pressure was used. After growth, residual stress measurements obtained indirectly from radius of curvature measurements of the wafer prior and after deposition are also provided. Two different techniques are used to determine the curvature—an optically levered laser beam and a method based on X-ray diffraction. There is a transition from compressive to tensile stress at a processing pressure around 2 mTorr. The transition occurs at different pressures for thin films of different thickness. The degree of c-axis orientation was not affected by the target–substrate distance as it was varied in between 30 and 70 mm.

Relevância:

90.00% 90.00%

Publicador:

Resumo:

Los sistemas micro electro mecánicos (MEMS) han demostrado ser una exitosa familia de dispositivos que pueden usarse como plataforma para el desarrollo de dispositivos con aplicaciones en óptica, comunicaciones, procesado de señal y sensorización. Los dispositivos MEMS estándar suelen estar fabricados usando tecnología de silicio. Sin embargo, el rendimiento de estos MEMS se puede mejorar si se usan otros materiales. Por ejemplo, el diamante nanocristalino (NCD) ofrece unas excelentes propiedades mecánicas, transparencia y una superficie fácil de funcionalizar. Por otro lado, el sistema de materiales (In; Ga; Al)N, los materiales IIIN, se pueden usar para producir estructuras monocristalinas con alta sensibilidad mecánica y química. Además, el AlN se puede depositar por pulverización catódica reactiva sobre varios substratos, incluyendo NCD, para formar capas policristalinas orientadas con alta respuesta piezoeléctrica. Adicionalmente, tanto el NCD como los materiales III-N muestran una gran estabilidad térmica y química, lo que los hace una elección idónea para desarrollar dispositivos para aplicaciones para alta temperatura, ambientes agresivos e incluso para aplicaciones biocompatibles. En esta tesis se han usado estos materiales para el diseño y medición de demostradores tecnológicos. Se han perseguido tres objetivos principales: _ Desarrollo de unos procesos de fabricación apropiados. _ Medición de las propiedades mecánicas de los materiales y de los factores que limitan el rendimiento de los dispositivos. _ Usar los datos medidos para desarrollar dispositivos demostradores complejos. En la primera parte de esta tesis se han estudiado varias técnicas de fabricación. La estabilidad de estos materiales impide el ataque y dificulta la producción de estructuras suspendidas. Los primeros capítulos de esta disertación se dedican al desarrollo de unos procesos de transferencia de patrones por ataque seco y a la optimización del ataque húmedo sacrificial de varios substratos propuestos. Los resultados de los procedimientos de ataque se presentan y se describe la optimización de las técnicas para la fabricación de estructuras suspendidas de NCD y materiales III-N. En un capítulo posterior se estudia el crecimiento de AlN por pulverización catódica. Como se ha calculado en esta disertación para obtener una actuación eficiente de MEMS, las capas de AlN han de ser finas, típicamente d < 200 nm, lo que supone serias dificultades para la obtención de capas orientadas con respuesta piezoeléctrica. Las condiciones de depósito se han mapeado para identificar las fronteras que proporcionan el crecimiento de material orientado desde los primeros pasos del proceso. Además, durante la optimización de los procesos de ataque se estudió un procedimiento para fabricar películas de GaN nanoporoso. Estas capas porosas pueden servir como capas sacrificiales para la fabricación de estructuras suspendidas de GaN con baja tensión residual o como capas para mejorar la funcionalización superficial de sensores químicos o biológicos. El proceso de inducción de poros se discutirá y también se presentarán experimentos de ataque y funcionalización. En segundo lugar, se han determinado las propiedades mecánicas del NCD y de los materiales III-N. Se han fabricado varias estructuras suspendidas para la medición del módulo de Young y de la tensión residual. Además, las estructuras de NCD se midieron en resonancia para calcular el rendimiento de los dispositivos en términos de frecuencia y factor de calidad. Se identificaron los factores intrínsecos y extrínsecos que limitan ambas figuras de mérito y se han desarrollado modelos para considerar estas imperfecciones en las etapas de diseño de los dispositivos. Por otra parte, los materiales III-N normalmente presentan grandes gradientes de deformación residual que causan la deformación de las estructuras al ser liberadas. Se han medido y modelado estos efectos para los tres materiales binarios del sistema para proporcionar puntos de interpolación que permitan predecir las características de las aleaciones del sistema III-N. Por último, los datos recabados se han usado para desarrollar modelos analíticos y numéricos para el diseño de varios dispositivos. Se han estudiado las propiedades de transducción y se proporcionan topologías optimizadas. En el último capítulo de esta disertación se presentan diseños optimizados de los siguientes dispositivos: _ Traviesas y voladizos de AlN=NCD con actuación piezoeléctrica aplicados a nanoconmutadores de RF para señales de alta potencia. _ Membranas circulares de AlN=NCD con actuación piezoeléctrica aplicadas a lentes sintonizables. _ Filtros ópticos Fabry-Pérot basados en cavidades aéreas y membranas de GaN actuadas electrostáticamente. En resumen, se han desarrollado unos nuevos procedimientos optimizados para la fabricación de estructuras de NCD y materiales III-N. Estas técnicas se han usado para producir estructuras que llevaron a la determinación de las principales propiedades mecánicas y de los parámetros de los dispositivos necesarios para el diseño de MEMS. Finalmente, los datos obtenidos se han usado para el diseño optimizado de varios dispositivos demostradores. ABSTRACT Micro Electro Mechanical Systems (MEMS) have proven to be a successful family of devices that can be used as a platform for the development of devices with applications in optics, communications, signal processing and sensorics. Standard MEMS devices are usually fabricated using silicon based materials. However, the performance of these MEMS can be improved if other material systems are used. For instance, nanocrystalline diamond (NCD) offers excellent mechanical properties, optical transparency and ease of surface functionalization. On the other hand, the (In; Ga; Al)N material system, the III-N materials, can be used to produce single crystal structures with high mechanical and chemical sensitivity. Also, AlN can be deposited by reactive sputtering on various substrates, including NCD, to form oriented polycrystalline layers with high piezoelectric response. In addition, both NCD and III-N materials exhibit high thermal and chemical stability, which makes these material the perfect choice for the development of devices for high temperatures, harsh environments and even biocompatible applications. In this thesis these materials have been used for the design and measurement of technological demonstrators. Three main objectives have been pursued: _ Development of suitable fabrication processes. _ Measurement of the material mechanical properties and device performance limiting factors. _ Use the gathered data to design complex demonstrator devices. In a first part of the thesis several fabrication processes have been addressed. The stability of these materials hinders the etching of the layers and hampers the production of free standing structures. The first chapters of this dissertation are devoted to the development of a dry patterning etching process and to sacrificial etching optimization of several proposed substrates. The results of the etching processes are presented and the optimization of the technique for the manufacturing of NCD and III-N free standing structures is described. In a later chapter, sputtering growth of thin AlN layers is studied. As calculated in this dissertation, for efficient MEMS piezoelectric actuation the AlN layers have to be very thin, typically d < 200 nm, which poses serious difficulties to the production of c-axis oriented material with piezoelectric response. The deposition conditions have been mapped in order to identify the boundaries that give rise to the growth of c-axis oriented material from the first deposition stages. Additionally, during the etching optimization a procedure for fabricating nanoporous GaN layers was also studied. Such porous layers can serve as a sacrificial layer for the release of low stressed GaN devices or as a functionalization enhancement layer for chemical and biological sensors. The pore induction process will be discussed and etching and functionalization trials are presented. Secondly, the mechanical properties of NCD and III-N materials have been determined. Several free standing structures were fabricated for the measurement of the material Young’s modulus and residual stress. In addition, NCD structures were measured under resonance in order to calculate the device performance in terms of frequency and quality factor. Intrinsic and extrinsic limiting factors for both figures were identified and models have been developed in order to take into account these imperfections in the device design stages. On the other hand, III-N materials usually present large strain gradients that lead to device deformation after release. These effects have been measured and modeled for the three binary materials of the system in order to provide the interpolation points for predicting the behavior of the III-N alloys. Finally, the gathered data has been used for developing analytic and numeric models for the design of various devices. The transduction properties are studied and optimized topologies are provided. Optimized design of the following devices is presented at the last chapter of this dissertation: _ AlN=NCD piezoelectrically actuated beams applied to RF nanoswitches for large power signals. _ AlN=NCD piezoelectrically actuated circular membranes applied to tunable lenses. _ GaN based air gap tunable optical Fabry-Pérot filters with electrostatic actuation. On the whole, new optimized fabrication processes has been developed for the fabrication of NCD and III-N MEMS structures. These processing techniques was used to produce structures that led to the determination of the main mechanical properties and device parameters needed for MEMS design. Lastly, the gathered data was used for the design of various optimized demonstrator devices.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

The AlN/diamond structure is an attractive combination for SAW devices and its application at high frequencies. In this work, the synthesis of AlN thin films by reactive sputtering has been optimized on diamond substrates in order to process high frequency devices. Polished microcrystalline and as-grown nanocrystalline diamond substrates have been used to deposit AlN of different thickness under equal sputtering conditions. For the smoother substrates, the FWHM of the rocking curve of the (002) AlN peak varies from 3.8° to 2.7° with increasing power. SAW one port resonators have been fabricated on these films, whose electrical characterization (in terms of S11 parameters) is reported.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

This work describes the performance of AlN-based bulk acoustic wave resonators built on top of insulating acoustic reflectors and operating at around 8 GHz. The acoustic reflectors are composed of alternate layers of amorphous Ta2O5and SiO2 deposited at room temperature by pulsed-DC reactive sputtering in Ar/O2 atmospheres. SiO2 layers have a porous structure that provides a low acoustic impedance of only 9.5 MRayl. Ta2O5 films exhibit an acoustic impedance of around 39.5 MRayl that was assessed by the picoseconds acoustic technique These values allow to design acoustic mirrors with transmission coefficients in the centre of the band lower than -40 dB (99.998 % of reflectance) with only seven layers. The resonators were fabricated by depositing a very thin AlN film onto an iridium bottom electrode 180 nm-thick and by using Ir or Mo layers as top electrode. Resonators with effective electromechanical coupling factors of 5.7% and quality factors at the antiresonant frequency around 600 are achieved.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

This work describes the structural and piezoelectric assessment of aluminum nitride (AlN) thin films deposited by pulsed-DC reactive sputtering on insulating substrates. We investigate the effect of different insulating seed layers on AlN properties (crystallinity, residual stress and piezoelectric activity). The seed layers investigated, silicon nitride (Si3N4), silicon dioxide (SiO2), amorphous tantalum oxide (Ta2O5), and amorphous or nano-crystalline titanium oxide (TiO2) are deposited on glass plates to a thickness lower than 100 nm. Before AlN films deposition, their surface is pre-treated with a soft ionic cleaning, either with argon or nitrogen ions. Only AlN films grown of TiO2 seed layers exhibit a significant piezoelectric activity to be used in acoustic device applications. Pure c-axis oriented films, with FWHM of rocking curve of 6º, stress below 500 MPa, and electromechanical coupling factors measured in SAW devices of 1.25% are obtained. The best AlN films are achieved on amorphous TiO2 seed layers deposited at high target power and low sputtering pressure. On the other hand, AlN films deposited on Si3N4, SiO2 and TaOx exhibit a mixed orientation, high stress and very low piezoelectric activity, which invalidate their use in acoustic devices.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

Durante los últimos años el flujo de datos en la transmisión que tiene lugar en los sistemas de comunicación ha aumentado considerablemente de forma que día a día se requieren más aplicaciones trabajando en un rango de frecuencias muy alto (3-30 GHz). Muchos de estos sistemas de comunicación incluyen dispositivos de onda acústica superficial (SAW) y por tanto se hace necesario el aumento de frecuencia a la que éstos trabajan. Pero este incremento de frecuencia de los dispositivos SAW no sólo es utilizado en los sistemas de comunicación, varios tipos de sensores, por ejemplo, aumentan su sensibilidad cuando la frecuencia a la que trabajan también lo hace. Tradicionalmente los dispositivos SAW se han fabricado sobre cuarzo, LiNbO3 y LiTaO3 principalmente. Sin embargo la principal limitación de estos materiales es su velocidad SAW. Además, debido a la alta temperatura a la que se depositan no pueden ser integrados en la tecnología de fabricación CMOS. El uso de la tecnología de capa delgada, en la que un material piezoeléctrico es depositado sobre un substrato, se está utilizando en las últimas décadas para incrementar la velocidad SAW de la estructura y poder obtener dispositivos trabajando en el rango de frecuencias requerido en la actualidad. Por otra parte, esta tecnología podría ser integrada en el proceso de fabricación CMOS. Durante esta tesis nos hemos centrado en la fabricación de dispositivos SAW trabajando a muy alta frecuencia. Para ello, utilizando la tecnología de capa delgada, hemos utilizado la estructura nitruro de aluminio (AlN) sobre diamante que permite conseguir velocidades SAW del sustrato que no se pueden alcanzar con otros materiales. El depósito de AlN se realizó mediante sputtering reactivo. Durante esta tesis se han realizado diferentes experimentos para optimizar dicho depósito de forma que se han obtenido los parámetros óptimos para los cuales se pueden obtener capas de AlN de alta calidad sobre cualquier tipo de sustrato. Además todo el proceso se realizó a baja temperatura para que el procesado de estos dispositivos pueda ser compatible con la tecnología CMOS. Una vez optimizada la estructura AlN/diamante, mediante litografía por haz de electrones se fabricaron resonadores SAW de tamaño nanométrico que sumado a la alta velocidad resultante de la combinación AlN/diamante nos ha permitido obtener dispositivos trabajando en el rango de 10-28 GHz con un alto factor de calidad y rechazo fuera de la banda. Estás frecuencias y prestaciones no han sido alcanzadas por el momento en resonadores de este tipo. Por otra parte, se han utilizado estos dispositivos para fabricar sensores de presión de alta sensibilidad. Estos dispositivos son afectados altamente por los cambios de temperatura. Se realizó también un exhaustivo estudio de cómo se comportan en temperatura estos resonadores, entre -250ºC y 250ºC (rango de temperaturas no estudiado hasta el momento) diferenciándose dos regiones una a muy baja temperatura en la que el dispositivo muestra un coeficiente de retraso en frecuencia (TCF) relativamente bajo y otra a partir de los -100ºC en la que el TCF es similar al observado en la bibliografía. Por tanto, durante esta tesis se ha optimizado el depósito de AlN sobre diamante para que sea compatible con la tecnología CMOS y permita el procesado de dispositivos trabajando a muy alta frecuencia con altas prestaciones para comunicaciones y sensores. ABSTRACT The increasing volume of information in data transmission systems results in a growing demand of applications working in the super-high-frequency band (3–30 GHz). Most of these systems work with surface acoustic wave (SAW) devices and thus there is a necessity of increasing their resonance frequency. Moreover, sensor application includes this kind of devices. The sensitivity of them is proportional with its frequency. Traditionally, quartz, LiNbO3 and LiTaO3 have been used in the fabrication of SAW devices. These materials suffer from a variety of limitations and in particular they have low SAW velocity as well as being incompatible with the CMOS technology. In order to overcome these problems, thin film technology, where a piezoelectric material is deposited on top of a substrate, has been used during the last decades. The piezoelectric/substrate structure allows to reach the frequencies required nowadays and could be compatible with the mass electronic production CMOS technology. This thesis work focuses on the fabrication of SAW devices working in the super-high-frequency range. Thin film technology has been used in order to get it, especially aluminum nitride (AlN) deposited by reactive sputtering on diamond has been used to increase the SAW velocity. Different experiments were carried out to optimize the parameters for the deposit of high quality AlN on any kind of substrates. In addition, the system was optimized under low temperature and thus this process is CMOS compatible. Once the AlN/diamond was optimized, thanks to the used e-beam lithography, nanometric SAW resonators were fabricated. The combination of the structure and the size of the devices allow the fabrication of devices working in the range of 10-28 GHz with a high quality factor and out of band rejection. These high performances and frequencies have not been reached so far for this kind of devices. Moreover, these devices have been used as high sensitivity pressure sensors. They are affected by temperature changes and thus a wide temperature range (-250ºC to 250ºC) study was done. From this study two regions were observed. At very low temperature, the temperature coefficient of frequency (TCF) is low. From -100ºC upwards the TCF is similar to the one appearing in the literature. Therefore, during this thesis work, the sputtering of AlN on diamond substrates was optimized for the CMOS compatible fabrication of high frequency and high performance SAW devices for communication and sensor application.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

AlN/diamond heterostructures are very promising for high frequency surface acoustic wave (SAW) resonators. In their design, the thickness of the piezoelectric film is one of the key parameters. On the other hand, the film material quality and, hence, the device performance, also depend on that thickness. In this work, polished microcrystalline diamond substrates have been used to deposit AlN films by reactive sputtering, from 150 nm up to 3 μm thick. A high degree of the c-axis orientation has been obtained in all cases. SAW one port resonators at high frequency have been fabricated on these films with a proper combination of the film thickness and transducer size.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

Piezoelectric AlN layer grain orientation, grown by room temperature reactive sputtering, is analyzed by transmission electron microscopy (TEM).Two types of samples are studied: (i) AlN grown on well-polished NCD (nano-crystalline diamond) diamond, (ii) AlN grown on an up-side down NCD layer previously grown on a Si substrate, i.e. diamond surface as smooth as that of Si substrates. The second set of sample show a faster lignment of their AlN grain caxis attributed to it smoother diamond free surface. No grain orientation relationship between diamond substrate grain and the AlN ones is evidenced, which seems to indicate the preponderance role of the surface substrate state.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

A post-complementary metal oxide semiconductor (CMOS) compatible microfabrication process of piezoelectric cantilevers has been developed. The fabrication process is suitable for standard silicon technology and provides low-cost and high-throughput manufacturing. This work reports design, fabrication and characterization of piezoelectric cantilevers based on aluminum nitride (AlN) thin films synthesized at room temperature. The proposed microcantilever system is a sandwich structure composed of chromium (Cr) electrodes and a sputtered AlN film. The key issue for cantilever fabrication is the growth at room temperature of the AlN layer by reactive sputtering, making possible the innovative compatibility of piezoelectric MEMS devices with CMOS circuits already processed. AlN and Cr have been etched by inductively coupled plasma (ICP) dry etching using a BCl3–Cl2–Ar plasma chemistry. As part of the novelty of the post-CMOS micromachining process presented here, a silicon Si (1 0 0) wafer has been used as substrate as well as the sacrificial layer used to release the microcantilevers. In order to achieve this, the Si surface underneath the structure has been wet etched using an HNA (hydrofluoric acid + nitric acid + acetic acid) based solution. X-ray diffraction (XRD) characterization indicated the high crystalline quality of the AlN film. An atomic force microscope (AFM) has been used to determine the Cr electrode surface roughness. The morphology of the fabricated devices has been studied by scanning electron microscope (SEM). The cantilevers have been piezoelectrically actuated and their out-of-plane vibration modes were detected by vibrometry.

Relevância:

80.00% 80.00%

Publicador:

Resumo:

The aim of this work is to simulate and optically characterize the piezoelectric performance of complementary metal oxide semiconductor (CMOS) compatible microcantilevers based on aluminium nitride (AlN) and manufactured at room temperature. This study should facilitate the integration of piezoelectric micro-electro-mechanical systems (MEMS) such as microcantilevers, in CMOS technology. Besides compatibility with standard integrated circuit manufacturing procedures, low temperature processing also translates into higher throughput and, as a consequence, lower manufacturing costs. Thus, the use of the piezoelectric properties of AlN manufactured by reactive sputtering at room temperature is an important step towards the integration of this type of devices within future CMOS technology standards. To assess the reliability of our fabrication process, we have manufactured arrays of free-standing microcantilever beams of variable dimension and studied their piezoelectric performance. The characterization of the first out-of-plane modes of AlN-actuated piezoelectric microcantilevers has been carried out using two optical techniques: laser Doppler vibrometry (LDV) and white light interferometry (WLI). In order to actuate the cantilevers, a periodic chirp signal in certain frequency ranges was applied between the device electrodes. The nature of the different vibration modes detected has been studied and compared with that obtained by a finite element model based simulation (COMSOL Multiphysics), showing flexural as well as torsional modes. The correspondence between theoretical and experimental data is reasonably good, probing the viability of this high throughput and CMOS compatible fabrication process. To complete the study, X-ray diffraction as well as d33 piezoelectric coefficient measurements were also carried out.

Relevância:

30.00% 30.00%

Publicador:

Resumo:

Hydrogenated amorphous silicon thin films were deposited using a high pressure sputtering (HPS) system. In this work, we have studied the composition and optical properties of the films (band-gap, absorption coefficient), and their dependence with the deposition parameters. For films deposited at high pressure (1 mbar), composition measurements show a critical dependence of the purity of the films with the RF power. Films manufactured with RF-power above 80W exhibit good properties for future application, similar to the films deposited by CVD (Chemical Vapor Deposition) for hydrogenated amorphous silicon.