Controlo de conversores de potência genéricos por FPGA


Autoria(s): Miquelina, Nuno José Machado
Contribuinte(s)

Melício, Fernando Manuel Fernandes

Redondo, Luís Manuel dos Santos

Data(s)

01/04/2016

01/04/2016

01/12/2015

Resumo

Dissertação para obtenção do grau de Mestre em Engenharia Eletrotécnica Ramo de Automação e Eletrónica Industrial

Esta dissertação insere-se na área de investigação e desenvolvida no seio da secção de Automação e Eletrónica, com particular ênfase no âmbito dos circuitos eletrónicos embebidos. Assim, considerando que hoje em dia os conversores eletrónicos de potência são comandados e controlados por circuitos digitais programáveis, mais ou menos configuráveis, para geração dos sinais de comando dos semicondutores e diagnóstico do funcionamento do conversor, pretende-se nesta tese: a) Apresentar os sinais necessários ao comando e diagnóstico do funcionamento dum conversor de potência genérico, bem como os tipos de circuitos digitais e as técnicas usadas para o efeito; b) Projetar um circuito de controlo para um conversor de potência genérico, baseado numa lógica de hardware configurável do tipo FPGA (Field Programmable Gate Array), com interface gráfico com o utilizador, através dum PLC (Programmable logic controller), para: a. Programação dos sinais de comando (frequência e fator de ciclo) de um determinado número de semicondutores; b. Recolha de sinais de entrada e saídas digitais para controlo de fontes de alimentação; c. Acionar proteções; d. Aquisição de sinais analógicos de diagnóstico de valores de tensão e corrente; c) Construir o circuito e testar num conversor de potência do tipo conversor dc-ac (ou dcimpulsos bipolares) d) Análise dos resultados obtidos com outras soluções existentes no mercado.

Abstract:This dissertation is part of the research area and developed within the Automation and Electronics section, with particular emphasis in the context of embedded electronics. Thus, considering that nowadays electronic power converters are operated and controlled by digital programmable circuits, more or less configurable, for generating the control signals of semiconductor and diagnosis of the converter operation, it is intended in this thesis: a) Provide the necessary command and diagnosis signalsof operation of a generic power converter as well as the types of digital circuits and the techniques used for this purpose; b) Projecting a control circuit for generic power converter based on a configurable hardware logic like FPGA (Field Programmable Gate Array) with graphical user interface through a PLC (Programmable logic controller) to: a. Programming of the control signals (frequency and cycle factor) of a certain number of semiconductor; b. Collection of input signals and outputs for controlling power supply; c. Activate the solution protections; d. Acquisition of analog signals diagnostic values of voltage and current; c) Build and test the circuit in a power converter inverter type dc-ac (or dc-bipolar pulses); d) Analysis of the obtained results with other existing solutions in the market

Identificador

MIQUELINA, Nuno José Machado - Controlo de conversores de potência genéricos por FPGA. Lisboa: Instituto Superior de Engenharia de Lisboa, 2015. Dissertação de mestrado.

http://hdl.handle.net/10400.21/5929

201213796

Idioma(s)

por

Direitos

openAccess

Tipo

masterThesis

Publicador

Instituto Superior de Engenharia de Lisboa