Design methodology for low power and arametric robustness through output-quality modulation:Application to color-interpolation filtering


Autoria(s): Banerjee, N.; Karakonstantis, G.; Choi, J.H.; Chakrabarti, C.; Roy, K.
Data(s)

01/08/2009

Resumo

Power dissipation and robustness to process variation have conflicting design requirements. Scaling of voltage is associated with larger variations, while Vdd upscaling or transistor upsizing for parametric-delay variation tolerance can be detrimental for power dissipation. However, for a class of signal-processing systems, effective tradeoff can be achieved between Vdd scaling, variation tolerance, and output quality. In this paper, we develop a novel low-power variation-tolerant algorithm/architecture for color interpolation that allows a graceful degradation in the peak-signal-to-noise ratio (PSNR) under aggressive voltage scaling as well as extreme process variations. This feature is achieved by exploiting the fact that all computations used in interpolating the pixel values do not equally contribute to PSNR improvement. In the presence of Vdd scaling and process variations, the architecture ensures that only the less important computations are affected by delay failures. We also propose a different sliding-window size than the conventional one to improve interpolation performance by a factor of two with negligible overhead. Simulation results show that, even at a scaled voltage of 77% of nominal value, our design provides reasonable image PSNR with 40% power savings. © 2006 IEEE.

Identificador

http://pure.qub.ac.uk/portal/en/publications/design-methodology-for-low-power-and-arametric-robustness-through-outputquality-modulation(85eb5a61-318c-4815-89bc-46e27a2fef00).html

http://dx.doi.org/10.1109/TCAD.2009.2022197

http://www.scopus.com/inward/record.url?eid=2-s2.0-68549085216&partnerID=8YFLogxK

Idioma(s)

eng

Direitos

info:eu-repo/semantics/restrictedAccess

Fonte

Banerjee , N , Karakonstantis , G , Choi , J H , Chakrabarti , C & Roy , K 2009 , ' Design methodology for low power and arametric robustness through output-quality modulation : Application to color-interpolation filtering ' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , vol 28 , no. 8 , pp. 1127-1137 . DOI: 10.1109/TCAD.2009.2022197

Tipo

article